The Graduate Catalog
University of Maryland Fall 201 1 - Spring 201 2
Charles Caramello, Dean of the Graduate School
Joe Williams, General Editor
Table of Contents
Chapter 1: The Graduate School and The Graduate Council 8
Functions of the Graduate School and Graduate Council 8
Chapter 2: Introduction 10
Introduction to the University of Maryland 10
Campus Libraries 10
Accreditation 13
Non-Discrimination Statement 13
Disclaimer 13
Chapter 3: Admissions 14
Admission to Graduate School 14
Criteria for Admission 14
The Admission Process 15
Admissions Records and Disposition 15
Admission to Degree Programs 15
Full Graduate Student Status 15
Provisional Graduate Student Status 16
Offer of Admission 16
Admission Semester Changes 16
Non-Degree Admission: Advanced Special Student Status 16
Non-Degree Admission: Advanced Graduate Specialist Certificate Status - College of
Education 17
Visiting Graduate Student Status 18
Golden Identification Card for Senior Citizens of Maryland 18
Change of Status or Program 18
Admission of Members of the Faculty 18
Admission to An Institute 19
Immunization 19
Residency Classification 19
Regents' Policy on Residency 19
Chapter 4: Registration 20
Registration and Credit Information 20
Designation of Full-Time and Part-Time Status 20
Continuous Registration Requirements 21
Waiver of Registration for Certificate, Master's, and Pre-Candidacy Doctoral Students 21
Waiver of Registration for Doctoral Candidates 21
Waiver of Mandatory Fees 21
Leave of Absence for Childbearing, Adoption, Illness or Dependent Care 22
Academic Calendar 23
Course and Credit Changes 23
Withdrawal from Classes 23
Resignation from the University 24
Grading Systems 24
Graduate Credit for Undergraduates 24
Undergraduate Credit for Graduate Courses 25
Partial Credit for Students With Disabilities 25
Inter-Institutional Registration, University System of Maryland 25
The Washington Consortium Arrangement 25
Chapter 5: Financial Policies - Tuition and Fees 27
Payment of Tuition and Fees 27
Forms of Financial Aid 27
Emergency Loans 27
Refunds 27
University Refund Statement 27
Refunds for Withdrawal from All Classes 28
Refunds for Dropping Individual Courses 28
Fellowships, Assistantships, and Financial Assistance 28
Graduate Fellowships 29
Graduate Assistantships 29
Overload Payments for Graduate Students 29
Travel Grants 29
Chapter 6: Policies for Graduate Assistantships 30
Introduction 30
General Policies 30
Appointments 31
Duties and Time Commitments 33
Compensation 35
Tuition Remission and Benefits 37
Codes of Conduct 38
Grievance Procedure 40
Chapter 7: Financial Policies - Fellowships and Scholarships 45
3
Graduate Fellowships and Scholarships 45
Status 45
Qualifications 46
Funding for Fellowships 46
Offer Letters 46
Duties 46
Supplementation of Support 47
Additional On-Campus and Outside Employment 47
Additional On-Campus and Outside Employment 47
Deferral or Duplication of Support 47
Overload Payments for Graduate Fellows 47
Stipends 48
Residency Classification 50
Tax Status 50
Health Insurance 50
Vacation and Sick Leave 51
Facilities 51
Chapter 8: Academic Policies - General Policies and The Academic Record 52
Developing a Program 52
Academic Integrity 52
Honor Pledge 52
Penalties for Violations of Academic Integrity 52
Academic Record (Transcript) 53
Grade Point Average Computation 53
Criteria for Courses to be Accepted for Graduate Credit 53
Credit by Examination 53
Incomplete Grades 54
Transfer of Credit 54
Satisfactory Progress 55
Good Standing 55
Academic Probation and Dismissal 55
Time Limitations for Master's Degrees and Certificates 55
Time Limitations for Doctoral Degrees 55
Time Extensions Master's Degree and Certificate Students 56
Chapter 9: Academic Policies - Doctoral Students 56
Graduate School Requirements Applicable to all Doctoral Degrees 58
4
Credit Requirements 58
Advancement to Candidacy 58
Research Assurances 58
The Doctoral Dissertation and Examination 59
Open Dissertation Examination 60
Procedures for the Oral Dissertation Examination 60
Submission and Publication of the Dissertation 62
Inclusion of One's Own Previously Published Materials in a Dissertation 63
Inclusion of Copyrighted Materials in a Dissertation 64
Additional Requirements 64
Graduate School Requirements for the Degree of Doctor of Philosophy 64
Foreign Language Requirement 64
Requirements for the Degree of Doctor of Education 65
Requirements for Other Doctoral Degrees 65
Chapter 10: Academic Policies - Master's Degrees 66
Approved Program 66
Credit Hours 66
Coursework Level 66
Prerequisites and Inclusion of Credit 66
Single Credit Application 66
Graduate School Requirements for the Degrees of Master of Arts and Master of Science 66
Thesis Requirement 66
Research Assurances 66
The Master's Thesis Examination 67
Procedures for the Oral Examination: 68
Submission and Publication of the Thesis 70
Inclusion of One's Own Previously Published Materials in a Thesis or Dissertation 71
Inclusion of Copyrighted Materials in a Thesis or Dissertation 71
Non-Thesis Option 72
Requirements for the Degree of Master of Education 72
Requirements for the Degree of Master of Engineering 72
Requirements Applicable to Other Master's Degrees 73
Professional Master's Degrees 73
Chapter 11: Academic Policies - Certificate Programs 74
Chapter 12: Academic Policies for Combined Bachelor's-Master's Programs 75
Individual Student Bachelor's/Master's Program 75
5
Structured Bachelor's/Master's Program 75
Chaper 13: Academic Policies - Dual Graduate Degree Programs 77
Existing Dual Degree Programs 77
Chapter 14: Academic Policies - Field Committees 78
Requirements for Formal Recognition 78
Requirements for Offering Courses and Advising Students: 78
Available Resources for Field Committees 79
Chapter 15: The Graduate Faculty 80
Minimum Qualification 80
Membership - Graduate Faculty Categories 80
Appointment procedures 80
Full Members 80
Adjunct Members 80
Special Members 81
Exceptional Appointments 81
Faculty of Multi-Campus Graduate Degree Programs 81
Prerogatives of Membership by Category 82
Full Members 82
Adjunct Members 82
Special Members 82
Membership of Former University of Maryland Faculty 82
Exceptions to Policy 82
Chapter 16: Other Graduate School Policies 83
Waiver of a Regulation 83
Application for Graduation 83
Arbitrary and Capricious Grading Policies 83
Policy and Procedures for Review of Alleged Arbitrary and Capricious Grading in
Courses 83
Policy and Procedures for Appeals of Alleged Arbitrary and Capricious Grading
of Doctoral Qualifying Examinations 84
Chapter 17: Graduate School Services
Ombudsperson for Graduate Students 90
Graduate Legal Aid Office 90
English Editing for International Graduate Students 90
Health Insurance 91
Promise 91
6
Chapter 18: Other University Services 92
Chapter 19: University Publications 93
Chapter 20: Academic Resources in the College Park, MD Area 94
Appendices 101
Chapter 21: Graduate Programs 107
Chapter 22: Graduate Courses 292
Chapter 23: Graduate Courses 531
Chapter 1: The Graduate School and The Graduate Council
Functions of the Graduate School and Graduate Council
The University of Maryland Board of Regents mandates that a Graduate Faculty and a Graduate Council
provide the organization by which the Graduate Faculty discharges its responsibilities for graduate
education. The Graduate Council, appointed by the Dean of the Graduate School, includes faculty
representatives elected by the Graduate Faculty, and graduate students. The Graduate Council recommends to
the Dean, the Provost and the President policies that affect all aspects of graduate education at the University.
The Graduate School, under the leadership of its Dean, establishes and oversees procedures to enact these
policies and serves as an advocate for excellence in all aspects of graduate education. The Graduate School, on
behalf of its Dean, officially admits all students into graduate degree programs and acts as the conferring body
for all graduate degrees.
In conjunction with the Graduate Council, the Graduate School:
Administers all University policies that affect graduate education.
Sets academic and admissions standards for graduate programs.
Reviews applications for admission to the Graduate School for compliance with academic standards.
Admits graduate students to all programs.
Administers the processes for graduate students' grievances
Admits and oversees the academic progress of non-degree seeking students.
Reviews and approves all new graduate programs.
Allocates annual fellowship funding to the colleges, sets minimum stipend levels, and monitors the
application and academic impact of awards.
Recommends annual minimum stipend levels for fellowships and teaching and research assistantships.
Sets policy for and awards tuition remission as a component of University fellowship awards, external
fellowships, and training grants.
Establishes qualifications for and approves membership in the Graduate Faculty.
Establishes qualifications necessary for graduate faculty to serve on and to chair thesis and dissertation
examining committees.
Sets policy that governs the composition of the thesis and dissertation examining committees and the
conduct of the examinations.
By appointment of a Dean's representative, oversees dissertation examinations to assure quality and
uniformity of standards across academic units.
Oversees the process of submitting approved dissertations and theses preservation of and access to the
documents are the responsibilities of the University Library.
Sets University-wide requirements for awarding graduate degrees.
Recommends to the President that students who meet established requirements be awarded graduate
degrees.
Reviews and approves as appropriate requests for exceptions to University policies on graduate
matters.
Ensures that the University maintains official graduate student records are kept in the Office of the
Registrar.
Approves and oversees programs created by interdisciplinary Field Committees.
Approves the programs for the Master's degree and graduate certificate in Professional Studies.
Prepares and disseminates an annual report on graduate education.
Administers the General Research Board, the Creative and Performing Arts Awards, the Goldhaber
Travel Grants, and other programs.
Assumes leadership in the recruitment and retention of graduate students with special emphasis on
students from under-represented groups.
Provides orientation programs, advising, and other support services that contribute to the successful
matriculation, retention, and graduation of a diverse population of graduate students.
■ Supports the Graduate Student Government, graduate student groups, and the Office of Graduate
Student Life.
The policies and procedures that are found in this document have been approved by the Graduate Council, the
Dean of the Graduate School, the Provost, and the President.
Chapter 2: Introduction
Introduction to the University of Maryland
Location of Campus and Nearby Academic Resources
Situated on 1,300 acres in the suburban town of College Park, the University is centrally located in the
Baltimore-Washington corridor. This unique location, just nine miles from downtown Washington, D.C., and
approximately 30 miles from both Baltimore and Annapolis, enhances research opportunities for faculty and
students by providing access to some of the finest libraries and research centers in the country. A map of the
campus's location in relation to available academic resources is available at
http://www.gradschool.umd.edu/prospective students/map of academic resources near college park.html.
Below is a map showing some of the numerous academic resources in the vicinity of the University of Maryland. The
Graduate School also has put together maps specifically showing the available resources in Science & Engineering and in
the Humanities, Education, & Social Sciences, as well as one listing foundations, agencies, museums, and laboratories in the
District of Columbia. For the Graduate School's most complete listing of academic resources in the Baltimore -Washington
area, please see the Master List of Academic Resources. If you have an additional resource to add to the list, please send it
to gradschool @ umd.edu .
The National institutes of Health ^^^ College Par* \ nasa Goddard Space Flight Center
to U.S. Army AbsroJsM Test Dotal
ftthaf U.S. Aurfiy RjSourcss
la Johne Hapkina UnrivBraty
■Johns Hapkra Applied Physics Lab
National Security Agency
Ft. Meade
B*IMvillt
USDA Beliss/ille Research Center
lAtoif Trap Farm Park
Federal Theatre Project Archive's
1-95 South fa Virginia
U£ RLHhto Ampuls
1 io U.S. Naval Academy {Annapolis)
LC-ltn- tor tt.. K.frwminrj Jrh^ ClftBf U.S. NaW RSBCIUBM
mill Gal erf
kespesre Theatre
aliens) Theatre
afjporan Gallery
Baltimore- Washington Area Universities
Campus Libraries
The University houses seven separate libraries. Together they contain 3 million books, 5,000 journal titles, and
2.3 million microforms. The University's main library is the Theodore R. McKeldin Library. Its collection of
books, reference materials, newspapers, journals, and electronic resources is especially strong in the life
10
sciences, social sciences, and humanities. Among its 1.2 million volumes is one of the best collections of
Judaica in the region.
In addition to the general collection, the University of Maryland is home to several archives: the Gordon W.
Prange Collection is one of the world's largest repositories of published and unpublished Japanese-language
materials from the period of the Allied Occupation. It contains Japanese newspapers, monographs, periodicals,
pamphlets and newsletters, textbooks, maps, news photographs, and political posters produced primarily
between 1945 and 1949, a time of Allied civil censorship controls. The collection is especially rich in fiction
and poetry, including reprints and first editions. These rare manuscript materials have attracted scholars from
around the world and have been utilized frequently in recent Japanese and Western scholarship on post-World
War II Japan. They are complementary to the American government documents that are housed in National
Archives II, immediately adjacent to the College Park campus.
The East Asia Collection, available since the mid-1960s, includes Japanese, Korean, and Chinese language
monographs, periodicals, and newspapers. It currently contains about 74,000 catalogued items, and is
particularly strong in scholarly works in the humanities, in the behavioral and social sciences and in reference
and serial publications. With the exception of the Japanese Division of the Library of Congress, this is the
largest East Asian language collection to be found in any academic institution in the tri-state region of
Delaware, Maryland, and Virginia.
The University' collection of Government Documents and Maps is the Regional Federal Depository Library
for Maryland, Delaware, and the District of Columbia. This collection includes more than one million
government publications from 1789 to the present, spanning virtually all subjects from arts to
zoology. Congressional documents and laws, census data, and consumer guides are among the most popular
items. The map collection contains nearly one-half million topographic and thematic maps from federal
agencies as well as some produced by foreign governments, including a collection of World War II
maps. Accompanying the paper maps are GIS workstations with gigabytes of map files and geo -referenced
statistical data.
The UM Libraries system includes six branch libraries in addition to McKeldin:
The Engineering and Physical Sciences Library (EPSL) contains materials in physics, engineering,
mathematics, and geology with other significant collections in computer science, environmental sciences, water
resources, and aerospace science. EPSL is also a U.S. patent and trademark depository library, and its large
Technical Reports Center contains collections from NASA, ERDA, Rand Corporation, and other agencies and
organizations.
The Charles E. White Memorial Library (Chemistry) is a collection of 80,000 volumes covering chemistry,
biochemistry, cell biology, enzymology, immunology, microbiology, and molecular genetics. Materials include
books, periodicals, major indexes, and comprehensive spectra collections.
The Architecture Library contains materials on architectural design, theory and history, urban design,
landscape architecture, and building technology. This library's special collections include rare architecture
books dating as far back as the 17th century, with materials on world expositions from 1851 to 1937.
The Art Library collects materials in art history, studio art, art education, photography, graphic arts, interior
design, and textiles. Special collections include art reproductions and art exhibition catalogs.
Opened in 2000 as part of the Clarice Smith Performing Arts Center, the Michelle Smith Performing Arts
Library is the central location on the College Park campus for music, theatre, and dance materials. Included in
the Performing Arts Library is the International Piano Archives at Maryland (IP AM), which houses one of the
world's most extensive concentrations of piano recordings, books, scores, and related materials, including the
personal papers of many great classical pianists. Special Collections in Performing Arts houses research
collections maintained through joint agreements with national and international performing arts organizations,
as well as collections donated by individuals, such as the Charles Fowler Papers and the Howe Collection of
Musical Instrument Literature.
11
Hornbake Library is home to the bulk of the University's special collections.
The Maryland Collection represents a variety of materials, including more than 60,000 books and periodicals
about Maryland, current and historical. A fine collection of rare Maryland items includes scarce copies of the
almanac published by Benjamin Banneker, early American imprints, and strong holdings in literature by and
about Marylanders. The Baltimore News American Photograph Archive of over 1.5 million images dating
from 1920 to 1986 is part of the Maryland Collection, which also features broad holdings in Maryland
newspapers both on microfilm and in original form.
The Rare Books Collection in Hornbake contains books and pamphlets from the 15th to 20th
centuries. Approximately 17,000 volumes represent all areas of the humanities and sciences, with strong
holdings in natural history, especially in botany and agriculture. Other notable rare book collections include
French political pamphlets published during the civil war of 1649-1652 and the French Revolution, pamphlets
documenting slavery and African-American life in America, and works by and about William Morris.
The National Trust for Historic Preservation Library Collection in Hornbake Library includes 13,000
volumes covering preservation topics from the technical to the aesthetic and more than 300 periodical titles on
international, national, state, and local historic preservation issues.
The Archives and Manuscripts Department is also located in Hornbake Library. Historical Manuscripts
collections include holdings pertaining to the Maryland region, labor union history, women's history, and
University of Maryland faculty and administrators. Highlights of the historical manuscripts collection include
the papers of political leaders from Maryland, such as U. S. Senator Milliard E. Tydings, Governor Theodore R.
McKeldin, State Treasurer Lucille Maurer, and Vice President Spiro T. Agnew. Significant holdings
documenting women's history include the papers of the League of Women Voters of Maryland, the Association
for Intercollegiate Athletics for Women, and the Association for Childhood Education International. The details
of day-to-day life throughout Maryland history are recorded in the personal and family papers collections,
which include diaries, correspondence, and photographs. The literary manuscript collections center on the
papers of two prominent twentieth-century women writers: Katherine Anne Porter and Djuna Barnes. The
Katherine Anne Porter Room is a permanent installation in Hornbake Library that houses Porter's library, art,
and artifacts. On display are photographs, furnishings, decorative arts, and books that belonged to Porter. The
University Archives is the repository for a broad range of materials, including official office records, printed
publications, photographs, and memorabilia, documenting the history and present activities of the University of
Maryland. The University Archives' photograph collection features campus views and scenes, individual and
group portraits, and University of Maryland events.
Established at the University of Maryland in 1990, the National Public Broadcasting Archives serves as the
official archival repository for the primary national agencies of noncommercial broadcasting in the United
States. Organizations represented include the Corporation for Public Broadcasting, the Public Broadcasting
Service, National Public Radio, and the Children's Television Workshop. The Library of American
Broadcasting holds a wide-ranging collection of materials devoted exclusively to the history of radio and
television broadcasting in the United States. Representative collections include material from the papers of
broadcasting giant Arthur Godfrey and the papers of Edythe Meserand, radio executive and first woman
president of the American Women in Radio and Television.
Nonprint Media Services is the central audiovisual department for the University of Maryland Libraries. In
addition to American movies and documentaries, its holdings include the complete BBC Shakespeare Plays, the
JVC/Smithsonian Video Anthology of World Music and Dance, and the Library of African Cinema.
Research is supported in the UM Libraries with a variety of technological tools. The online catalog identifies
library materials from the collections of libraries on all campuses in the University of Maryland System. Access
to this information is available through public terminals located throughout the library systems and can be
accessed through internet connections in homes, offices, and libraries around the country. Research Port allows
students, faculty, and others connected with the University of Maryland to access databases and e-journals from
12
on and off campus. Patrons can search for journal articles and books in databases, e-journals, and library
catalogs; access databases and e-journals from on and off campus; search an individual database OR several
databases simultaneously; search databases and the UM Libraries' catalog simultaneously; and find full -text
articles. They can save lists of databases, e-journals, searches, and articles in My Research Port, as well as e-
mail and save citations.
The Digital Repository at the University of Maryland (DRUM) provides digital repository services for the
University. Currently three types of materials are being collected: faculty deposited documents, a Library
managed collection of UM doctoral dissertations and master's theses, and a collection of technical
reports. DRUM provides a distribution service by making files available via the Internet. As a repository,
DRUM maintains files for the long term. Unlike the web, where pages come and go and addresses to resources
can change overnight, DRUM items have a permanent URL.
Borrowing library materials is aided by several services in addition to basic circulation assistance. Direct
borrowing privileges at the other University of Maryland System libraries are available for registered
UMCP graduate students. Through Inter-Library Loan, one can obtain loans or photocopies of materials from
other libraries that are not available at the University. All of the University libraries are equipped with study
carrels and group study areas, wireless internet access, and computer terminals.
Accreditation
The University of Maryland is accredited by the Middle States Association of Colleges and Secondary Schools
and is a member of the prestigious Association of American Universities. Individual graduate programs may be
accredited by their appropriate agencies. Students should check with their graduate program of interest for
particular accreditations.
Non-Discrimination Statement
The University of Maryland is committed to the elimination of discrimination on the basis of race, color, creed,
sex, sexual orientation, marital status, personal appearance, age, national origin, political affiliation, physical or
mental disability, or on the basis of the exercise of rights secured by the First Amendment of the United States
Constitution. The Human Relations Code is established to prevent or eradicate such discrimination in
accordance with due process within the University community. In doing so, the University recognizes that it
must strive actively and creatively to build a community in which opportunity is equalized.
Every effort will be made to make students and potential students, employees and potential employees, faculty
members and potential faculty members aware of the opportunities that the University provides for every
individual to develop and utilize his or her talents and skills. It is the intent of the University to observe and
promote respect for each member of the community's own race, ethnic background, sex, or sexual orientation.
The Human Relations Code is accessible in its entirety at http://www.ohrp.umd.edu/compliance/hrc/intro.html .
Under advice of the Maryland Attorney General's Office, the University may interpret the Code to include both
gender identity and gender expression.
Disclaimer
The provisions of this publication are not to be regarded as an irrevocable contract between the student and the
University of Maryland. Changes are effected from time to time in the general regulations and in the academic
requirements. There are established procedures for making changes that protect the institution's integrity and the
individual student's interest and welfare. A curriculum or graduation requirement, when altered, is not normally
made retroactive unless the alterations are to the student's advantage and can be accommodated within the span
of years normally required for graduation. When a competent authority judges the actions of a student, using
established procedures, to be detrimental to the interests of the University community, that person may be
required to withdraw from the university.
13
Chapter 3: Admissions
Admission to Graduate School
Responsibility for admitting applicants to graduate programs rests with the Dean of the Graduate School.
Academic department and program offices review admissions applications and credentials and make admissions
recommendations to the Graduate Dean. In cases where credentials were earned abroad, the staff of the
International Education Services Office is consulted. The standards maintained by the Graduate School and
individual departments and programs are applied to ensure that applicants admitted to the University are well
qualified and trained to study at this institution and have a reasonable expectation of successfully completing a
graduate program. Standards for admission to doctoral degree programs are frequently higher than those for
admission to master's degree programs. In many degree programs, the number of applications received from
individuals qualified for graduate study regularly exceeds the number of applicants who can be accommodated.
In such cases, only the most highly qualified are offered admission. The number of spaces available in various
departments is limited according to the availability of faculty, special resources, and funds for students
requiring financial assistance.
Criteria for Admission
Those applicants who have earned or will earn a bachelor's degree at a regionally accredited college or
university in the United States (or the equivalent of a baccalaureate degree in another country) are eligible to be
considered for admission to the Graduate School at the University of Maryland. With the exception of
established dual -degree programs, an applicant can matriculate in only one graduate program at a time.
Admission to graduate programs is highly competitive, and space is limited. The decision to admit an applicant
to a program is based primarily on a combination of the following criteria, evaluated from a complete
application:
■ Quality of previous undergraduate and graduate work. The Graduate School requires as a
minimum standard a B average (3.0 on a 4.0 scale in all undergraduate courses taken at a regionally
accredited college or university). Adequate performance in prerequisite courses is required. Applicants
with international credentials must submit in the original language those academic records that are not
written in English. Such credentials must be accompanied by a literal English translation. Both must be
submitted at least six months prior to the first day of classes of the semester for which the applicant
seeks admission.
■ Strength of letters of recommendation from persons competent to judge the applicant's probable
success in graduate school. These letters are usually from the applicant's former professors who are
able to give an in-depth evaluation of the applicant's strengths and weaknesses with respect to
academic work. Additional recommendations may come from employers or supervisors who are
familiar with the applicant's work experience.
■ Scores on a nationally standardized examination. The three most widely used standardized
examinations are the Graduate Record Examination (GRE), the Graduate Management Admissions
Test (GMAT) and the Miller Analogies Test (MAT). Because the predictive utility of these test scores
may vary from one group of applicants to another, a discriminating use of all relevant materials will be
made in each applicant's case. The TOEFL is required of international applicants who are not native
speakers of English.
■ Applicant's statement of his or her academic career objectives and their relation to the intended
program of study. These statements help the program to identify students whose goals are consonant
with the program's objectives and expertise.
■ Other evidence of potential success in graduate studies. Some programs require other evidence of
potential for success in graduate study, such as a portfolio of creative work, completion of specialized
examinations, personal interviews, or an example of scholarly work.
■ Availability of an advisor in the applicant's specific field, available space in the program, and
competitive rating within the applicant pool for the given term of entry.
14
Prospective students may apply for admission to the University of Maryland during or after their final year of
undergraduate study but must furnish proof of graduation before the end of their first semester of enrollment at
the University. Students applying for admission to a graduate degree program in a field of specialization in
which they already hold that same degree or its equivalent may do so only if the previous degree program was
of substantially different character or was not accredited. Summer-only students applying for entrance in either
of the two summer sessions should check the Summer Sessions Bulletin to determine if the courses they wish to
take will be offered. To obtain this publication, write to the Office of Continuing Education, Summer and
Special Programs, 2103 Reckord Armory, University of Maryland, College Park, MD 20742 - 5321. This
information may also be accessed online at http://www.summer.umd.edu.
The Admission Process
To be considered for admission to the Graduate School, each applicant must follow the Graduate School
application procedures, currently available
at http://www.gradschool.umd.edu/welcome/before_you_apply.html. The process requires the following:
Completion of the University of Maryland Graduate Application (online);
Payment of the non-refundable application fee;
Submission of all relevant transcripts and supplementary application materials;
Providing appropriate visa and financial documentation (for international applicants only);
Fulfillment of all graduate program admissions requirements;
Adherence to published application deadlines.
Should the application and fee arrive after the stated deadline; the application will automatically be considered
for the next admissible semester.
Admissions Records and Disposition
All records, including both standardized test scores and academic records from other institutions, become part
of the official file and can neither be returned nor duplicated for any purpose. Students should retain an
additional copy of their official credentials to keep in their possession for advisory purposes and for other
personal requirements.
The admission credentials and the application data of applicants are retained from the date of receipt for 12
months only and then destroyed in the following cases: 1) Applicants who do not register for courses at the time
for which they have been admitted; 2) Applicants whose applications have been disapproved; 3) Applicants
who do not respond to graduate program requests for additional information; and 4) Applicants whose
applications are not complete with respect to the inclusion of all transcripts or test results.
Admission to Degree Programs
Graduate students are admitted to a particular program for a specific degree objective (M.A., Ph.D., Ed.D, etc.).
With the exception of established dual degree programs, joint-degree programs, and certificate programs,
graduate students are permitted to matriculate into only one graduate degree program at a time. Graduate
students are admitted to either full or provisional status as outlined below:
Full Graduate Student Status
Students may be admitted to full graduate status if they have submitted official documents indicating a
completed baccalaureate degree from a regionally accredited institution or have earned a degree equivalent to a
baccalaureate degree from another country, and are fully qualified in the judgment of the individual program
and the Graduate School.
15
Provisional Graduate Student Status
Students may be admitted to provisional status if:
■ The previous academic record is not outstanding; or
■ The prerequisite course work in the chosen field is insufficient; or
■ The applicant has majored in another field with a creditable record but has not yet clearly demonstrated
abilities in the proposed new field; or
■ The applicant has not provided official verification of information required by the graduate program or
the Graduate School, such as the last semester's work or receipt of a degree.
Official transcripts indicating receipt of the degree must be submitted before the end of the first semester.
Registration for a second semester will not be permitted unless these documents are received by the Graduate
School.
Offer of Admission
All completed applications will be reviewed by the Graduate School, the graduate program to which the
applicant applied, and, if necessary, the Office of International Education Services. Applicants may receive
correspondence from each of these offices requesting clarification or additional information or documents.
Responses should be directed to the inquiring office directly.
Formal admission to The University of Maryland is offered only by the Graduate School. Applicants admitted
to the Graduate School will receive a written offer of admission from the Dean of the Graduate School. To
accept or decline the offer, applicants must notify the Graduate School by the first day of classes of the semester
for which the applicant was accepted or the offer becomes void. Immediately following written acceptance,
applicants should contact the graduate program for registration information. Applicants are allowed a one-time
only deferral of the admission of up to one year, subject to approval by the graduate program. Applicants who
are unsuccessful in gaining admission to a graduate program are also notified in writing by the Graduate School.
Admission Semester Changes
The Offer of Admission is extended to the applicant only for a specified semester. If an admitted student or a
Graduate Program wishes to change the semester of entry, they must petition the Graduate School in writing.
The Graduate School will allow one (1) semester change requested by the program, and one (1) requested by
the admitted student, contingent upon the approval of the program's Director of Graduate Studies. Any further
changes will require a new application to the Graduate School.
Non-Degree Admission: Advanced Special Student Status
Although the primary mission of the Graduate School is to conduct programs of graduate instruction leading to
advanced degrees, the Graduate Faculty will admit qualified students without degree objectives as advanced
special students, to the extent that resources allow. Unofficial transcripts or photocopies of diplomas will be
accepted with the application for evaluation purposes, but the student must submit official copies of all required
documents before the end of the first semester of enrollment. Official transcripts must be submitted from all
institutions except the University of Maryland, College Park.
The Advanced Special Student status is not available to students in F-l or J-l status. These students should
consult with the Office of International Education Services at (301) 314-7740 if they have questions about
exceptions in this category.
Applicants for admission to Advanced Special Student status must hold a baccalaureate degree from a
regionally accredited institution, with a cumulative 3.0 grade point average, and:
16
■ Submit official transcripts covering all credits used in satisfying the baccalaureate degree
requirements, or
■ If the applicant holds a master's or doctoral degree from a regionally accredited institution, submit an
official transcript showing the award of a master's or doctoral degree, or
■ Achieve a score that places the applicant in the upper 50th percentile of appropriate national
standardized aptitude examinations, including the Graduate Record Examination, the Miller Analogies
Test, and the Graduate Management Admissions Test, (where different percentiles are possible, the
Graduate School will determine which score is acceptable), or
■ Provide a strong letter of support from the Graduate Director of the program in which the applicant
plans to take a course.
Admission to Advanced Special Student status will continue for five years. If there is no registration in two
consecutive academic semesters (Fall and Spring), the admitted status will lapse and a new application will be
required.
Advanced Special Students must maintain a 2.75 grade point average. Advanced Special Students whose grade
point average falls below 2.75 will not be permitted to register.
Advanced Special Students must pay all standard graduate fees. Students in this status are not eligible to hold
appointments as Graduate Teaching or Research Assistants or Fellows, or to receive other forms of financial
aid. All other services available to them (e.g., parking, library privileges) are the same as those accorded to
other graduate students.
Successful completion of courses taken as an Advanced Special Student does not guarantee admission to a
graduate degree or certificate program. Each program may accept such courses in satisfaction of program
requirements to a maximum of twelve (12) credits, contingent on admission to the degree or certificate program
and on the approval of the faculty in the program. For consideration of admission to a degree program at a later
time, the student must submit a new application.
Non-Degree Admission: Advanced Graduate Specialist Certificate Status - College of Education
The Advanced Graduate Specialist Certificate Program is designed to promote a high level of professional
competence in an area of specialization in the field of education. The candidate must be able to demonstrate that
he or she can operate as an effective counselor, administrator, teacher, or skilled person in a major field of
professional endeavor. The Advanced Graduate Specialist Certificate is offered through most of the programs in
the College of Education. This Certificate is awarded only by the College of Education. Requirements are as
follows:
■ The same general criteria for admission to degree programs are applicable to Graduate Specialist
Certificate applicants. Additionally, the applicant must have completed a master's degree or the
equivalent in credits earned either at the University of Maryland or at another regionally accredited
institution. Entrance exams are required at the time of application and vary for each department.
Examinations that may be required are the Graduate Record Examination (GRE) or the Miller
Analogies Test.
■ Course work totaling not more than 30 credits with grades of at least a "B" from an accredited
institution may be transferred to the program at the University.
■ The program must be developed in cooperation with an advisor and filed with the Graduate Studies
Office in the College of Education.
■ The Advanced Graduate Specialist Certificate program requires a minimum of 60 semester hours of
credit with no fewer than 30 semester hours of credit completed at the University of Maryland. At least
one half of the credits earned either at other institutions or at the University of Maryland must be in
courses comparable to those in the 600-800 series. The student may be required to take a substantial
portion of the program in departments other than those in the College of Education. Registration in
certain kinds of field study, field experience, apprenticeship, or internship may also be required.
17
■ The Certificate requires completion of 60 hours of graduate credit with a 3.0 grade point average and
no grades of "D" or "F". There will be a written examination of not less than six hours. For additional
details see "A Guide for Student Advisors," issued by the College of Education Graduate Studies
Office, Room 1204, Benjamin Building, University of Maryland, College Park, MD 20742-1121 or
visit the website at http://www.education.umd.edu/studentinfo/graduate info/gradhandbook.html/.
Visiting Graduate Student Status
A graduate student matriculated in another graduate school who wishes to enroll in the Graduate School of the
University of Maryland and who intends to return to the graduate school in which he or she is matriculated, may
be admitted as a Visiting Graduate Student.
To apply, the applicant must submit a completed application
( http://www.gradschool.umd.edu/welcome/apply now. html ) and pay the current application fee. Transcripts,
letters of recommendation, and test scores are not required. In lieu of transcripts, the applicant must submit a
letter from the Graduate Dean at the applicant's institution confirming that the applicant is in good academic
standing and that courses taken at the University of Maryland will be transferred to the home institution.
Golden Identification Card for Senior Citizens of Maryland
The University's services and courses are available without charge to citizens who are residents of the State of
Maryland, 60 years of age or older, and retired (retired persons will be considered those who affirm that they
are not engaged in gainful employment for more than 20 hours per week). Individuals who meet these
requirements may apply for graduate admission, either as degree-seeking or non-degree-seeking students, and
must meet all admissions criteria. Once admitted and issued the Golden Identification Card, senior citizens may
register for courses in any session on a space-available basis, and may use the library and other University
facilities during the time they are enrolled in courses. Tuition will be waived for Golden Identification Card
holders, but mandatory fees must be paid. Golden ID card holders may register during the first week of classes
for up to 3 courses; they may not pre-register. Please refer to the Schedule of Classes for more information on
the Golden ID registration procedures.
Change of Status or Program
Students are admitted with a particular status to a specified program for a specified objective. A new application
is required if:
■ The student wishes to change programs (students may be admitted to only one graduate program at any
one time); or
■ The student wishes to change status (from non-degree to degree); or
■ The student wishes to pursue a new degree objective (e.g., change from master's to doctoral degree).
■ Admission to a new program and/or status is not granted automatically. Each application is subject to
review and approval.
Admission of Members of the Faculty
No member of the faculty who is employed by the University of Maryland with the position of assistant
professor or higher is permitted to enroll in a program leading to an advanced degree in his or her academic
college or school. A faculty member who wishes to take course work for personal enrichment in his or her
academic college or school may choose to investigate the Advanced Special Student status. A faculty member
who wishes to pursue an advanced degree in a graduate program outside his or her academic college or school
may do so by obtaining written consent from the Deans of both the academic college/school in which he or she
is employed and that from which he or she seeks a degree, and, subsequently, from the Dean of the Graduate
School.
18
Admission to An Institute
Application for admission to an institute should be made directly to the director of the institute. If admission to
the Graduate School is also necessary, the decision will be based on the same criteria for admitting other degree
applicants. Admission to an institute does not imply that the individual will be automatically admitted in any
other status at the University of Maryland at a later date. The status terminates upon completion of the institute
in which the student is enrolled. A new application and fee must be submitted for admission to any other
graduate status or program.
Students already admitted to a regular graduate degree or non- degree status may also qualify for participation
in an institute.
Immunization
The University of Maryland requires all freshmen, new graduate students, and transfer students to provide
documentation of measles, mumps, rubella, and tetanus / diphtheria immunizations. It is a student's
responsibility to provide this information to the Health Center before school begins. This requirement will not
be waived.
Residency Classification
An initial determination of in-state status for admission and tuition charges will be made by the University at
the time a student's application for admission is under consideration. The determination made at that time and
any determination made thereafter will prevail in each semester unless the determination is successfully
challenged in a timely manner. Please be advised that all students who are originally classified as nonresident
students when they begin their studies at the University retain that classification unless they file a petition for
resident status with the University's Residency Classification Office. The deadline for meeting all requirements
for resident status and for submitting all documents for reclassification is the last day of late registration for the
semester for which the student wishes to be classified as a resident student.
The volume of requests for reclassification may necessitate a delay in completing the review process. It is hoped
that a decision in each case will be made within ninety (90) days of a request for determination. During this
period of time, or any further period of time required by the University, fees and charges based on the previous
determination must be paid. If the determination is changed, excess charges will be refunded.
All Graduate Assistants and Graduate Fellows are responsible for the status of their own residency
classification. Classification does not officially change when the student begins his or her appointment.
Assistants and Fellows should be familiar with the policies regarding tuition remission and residency
classification. The fact that Fellows and Teaching Assistants are billed at the In-State rate does not change their
residency status.
Regents' Policy on Residency
The University of Maryland Board of Regents have developed a policy and procedure that define a Maryland
Resident for tuition and charge-differential purposes. This information, and all relevant procedures, is
maintained on the Residency Classification Office's website: http://www.testudo.umd.edu/rco/policv.html .
19
Chapter 4: Registration
Registration and Credit Information
Information concerning registration procedures, deadlines, late fees, and current tuition and expenses is found in
the Schedule of Classes, published regularly by the Office of the Registrar. Students interested in summer
session courses should obtain the Summer Guide and address any questions to the Office of Student Services
( summer@umd.edu ; 301-314-8240) Registration information for all academic sessions is also available on the
University's web page ( http://www.umd.edu ).
Designation of Full-Time and Part-Time Status
The Graduate School uses a unit system in making calculations to determine full-time or part-time student
status. Please note that graduate units are different from credit hours. The number of graduate units per credit
hour is calculated in the following manner:
Courses in the series: 000-399 carry 2 units per credit hour.
Courses in the series: 400-499 carry 4 units per credit hour.
Courses in the series: 500-599 carry 5 units per credit hour.
Courses in the series: 600-897 carry 6 units per credit hour.
Master's Research course: 799 carry 12 units per credit hour.
Pre-candidacy Doctoral Research courses: 898 carry 18 units per credit hour.
Doctoral Dissertation Research: 899 carry 18 units per credit hour. All doctoral candidates must pay
candidacy tuition for which they will be registered for six (6) credit hours of 899; this defines all
currently registered doctoral candidates as full-time.
All doctoral candidates must pay the flat candidacy tuition for which they will be registered for six (6) credit
hours of 899; this defines all currently registered doctoral candidates as full-time.
To be certified as full time, a graduate student must be officially registered for a combination of courses
equivalent to 48 units per semester. Graduate assistants holding regular appointments have full-time status if
they are registered for at least 24 units in addition to the assistantship; holders of half-time assistantships are
considered full-time if registered for 36 units. Audited courses do not generate graduate units and cannot be
used in calculating full-time or part-time status.
Course Numbering System
Courses are designated as follows:
000-099
Non-credit courses.
100-199
Primarily first-year courses (not acceptable for credit toward graduate degrees).
200-299
Primarily sophomore courses (not acceptable for credit toward graduate degrees).
300-399
Junior and senior courses (not acceptable for credit toward graduate degrees).
400-499
Junior and senior courses acceptable for credit toward some graduate degrees. The number of such
credits is limited by policies of the Graduate School and by the graduate program.
500-599
Professional school courses (Dentistry, Law, Medicine) and post-baccalaureate courses not for
graduate degree credit.
600-898
Courses restricted to graduate students (see above for exceptions).
799
Master's thesis credit.
899
Doctoral dissertation credit.
20
The first character of the numeric position of the course number determines the level of the course and the last
two digits are used for course identification. Courses ending with the numeral 8 or 9 are the only courses that
are repeatable for credit.
Continuous Registration Requirements
All graduate students must register for courses and pay associated tuition and fees each semester, not including
summer and winter sessions, until the degree is awarded.
A student who fails to register and who has not requested and received a waiver of registration or "Leave of
Absence for Childbearing, Adoption, Illness or Dependent Care" will be notified by the Graduate School after
the first day of classes that he or she must register for the current semester. The Graduate School will also
inform the Graduate Director of the graduate program that the student is in jeopardy of termination. If the
student does not register, he or she will be dismissed from the Graduate School at the end of the semester for
failure to comply with the continuous registration requirement.
A student who is dismissed for non-registration may appeal dismissal during a 30-day period following the end
of the semester of non-registration. If the student does not appeal, or if the appeal is denied, and the student
wishes to continue in the Graduate School, the student must apply for readmission. In this case, readmission
does not alter the initial requirements for time to complete the degree or advance to candidacy.
Waiver of Registration for Certificate, Master's, and Pre -Candidacy Doctoral Students
Certificate, Master's, and pre-candidacy Doctoral students who will be away from the University for a semester
or a year may request a waiver of continuous registration and its associated tuition for the semester or year.
Waivers of registration will be granted only if the student is making satisfactory progress toward the degree and
can complete the degree requirements within the required time limits. Interruption of registration cannot be used
to justify a time extension.
Permission for non-registration is obtained from the Graduate Director of the student's program and the waiver
must be filed with the Graduate School. Students who are not registered may not use any University facilities,
including the library, and should expect to consult with members of the Graduate Faculty seldom or not at all.
A request for a waiver of registration should be filed 30 days before the beginning of the semester or year for
which the waiver is sought. Tuition waiver requests will be granted only when the student affirms in writing
that he or she will not be using any University resources, including the time of faculty members, during the
waiver period.
Waiver of Registration for Doctoral Candidates
Doctoral Candidates are not eligible for Waivers of Continuous Registration. Each doctoral Candidate must
maintain continuous registration in 899 (Doctoral Dissertation Research) until the degree is awarded. Waivers
of Registration may be granted only under the University's policy for Leave of Absence for Graduate Students
for Childbearing, Adoption, Illness or Dependent Care (see below).
Waiver of Mandatory Fees
A waiver of Mandatory Fees may be granted to any graduate student, including Doctoral Candidates, if the
student will be away from the University for a semester or a year. An application for waiver of Mandatory Fees
21
must be submitted to the Graduate School 30 days before the beginning of the semester for which the waiver is
sought. The waiver may be granted for a semester or a year.
Leave of Absence for Childbearing, Adoption, Illness or Dependent Care
In recognition of the effects that childbirth, adoption, illness, and caring for incapacitated dependents (such as
children, ill or injured partners, or aging parents) may have on the time and energy that graduate students have
to devote to their educational programs, the University allows students in such circumstances to apply for a
leave of absence of up to two semesters during which time they do not intend to make academic progress
toward the completion of their degree. The time taken on an approved leave of absence is not included in the
time limitations for degree completion and advancement to candidacy.
Length of Leaves
Application for a leave of absence may be made on a one- or two-semester basis. A leave of absence ordinarily
will not be granted for more than one academic year. Leaves requested for a longer period are approved only in
exceptional circumstances. An approved leave for one semester will be extended to two semesters as needed, if
so requested by the applicant prior to the expiration of the approved one- semester leave of absence.
Application Procedures
A leave of absence for childbearing, adoption, illness, or dependent care normally must be requested and
approved prior to the beginning of the academic term for which it is being requested. A letter of request should
be addressed to the Dean of the Graduate School and should provide a detailed explanation of the circumstances
leading to the request and a justification of the time requested (one semester or one year). The request must be
approved by the student's faculty advisor and Graduate Director prior to submission to the Graduate Dean. The
faculty advisor, Graduate Director, and/or Graduate Dean may request a doctor's statement. Approved leaves
will stop the student's "time-to-degree clock."
Special Considerations
• Registration Requirements. Students on approved leaves of absence are not registered at the University and,
therefore, do not have the rights and privileges of registered students. Students must be registered during a
semester in which they fulfill a University or departmental degree requirement, such as taking qualifying exams
or submitting a dissertation/thesis. In addition, students must also be registered in order to be eligible for any
form of University financial aid (e.g., a teaching or research assistantship) and to be certified as full-time
students.
• Impact on Funding. When contemplating a leave of absence, graduate students are advised to consult with
the sources of their funding to determine whether a leave might involve a long-term financial loss. Because
academic programs and financial aid packages may be constructed and sequenced over a period of years,
individual interruptions to the normal sequence of academic progress and scheduled employment may result in
a loss of future funding and a slower time to completion of degree. In some programs, a leave of absence may
mean that students may have to join a new project upon return, with the likelihood that their research may take
longer to complete. Whenever a leave of absence is being considered, a student should meet with the advisor to
develop a plan for resumption of study and gain a clear understanding of future funding opportunities. Some
outside funding agencies frown on interruptions to a degree program. Some only allow leaves for medical
reasons or military service. Others require prior approval of the fellowship agency.
• Students with outstanding educational loans need to consider the effect of taking a leave of absence on their
loan status. For some student loans, a grace period for repaying the loan begins once the student stops
registering. If the leave period is longer than the grace period, then the student may have to begin repaying the
loan while on a leave of absence. Prior to taking a leave, students should arrange to meet with a Student
Financial Aid officer, and/or contact their lenders.
22
• International students. Non-immigrant F-l and J-l students and their dependents must maintain legal
immigration status at all times. Students with F-l or J-l visas must be enrolled full-time every semester at the
University while they remain in the United States. The only possible exception that might allow a student to
remain in the United States while on an approved leave of absence might be a serious illness or medical
condition. Students are advised to consult with the staff of the Office of International Educational Services for
more information when considering a leave of absence.
• Student Accounts. Students are advised to check with the Bursar's Office prior to taking an approved leave of
absence in order to determine the status of their student accounts. Students are advised that accounts that are
overdue will be subject to regular procedures in accordance with University guidelines, notwithstanding any
approved leave of absence: specifically, late fees and finance charges will continue to accrue, students will be
blocked from future registration upon their return, and accounts will be referred to the State Central Collection
Unit, with the imposition of additional collection charges, for non-payment in accordance with regular
timeframes.
• University Housing. The University's general policy is that students must be registered to be eligible for
University housing. For specific information about continued eligibility for University housing during an
approved leave of absence, students are advised to contact the Department of Resident Life. Additional
restrictions may apply to students leasing housing through Southern Management Corporation. For specific
information, students should contact the appropriate rental agent.
• Access to University Resources. Students who are on a leave of absence do not have a valid University of
Maryland Identification card and therefore are not entitled to use University resources, such as the libraries,
recreational centers, shuttle buses, and other services covered by mandatory fees. Students seeking information
on use of the libraries while on an official leave of absence may find it
at http://www. lib. umd.edu/PUBSERV/spcmck. html , or they may contact the McKeldin Library Circulation
Department, Special Borrowers Office, Monday -Friday, 9:00 a.m. - 4:00 p.m.
Academic Calendar
The Academic Calendar is printed in the Schedule of Classes each semester. This Calendar contains key
deadlines for all graduate students. Graduate students preparing to graduate must consult the Academic
Calendar during the first week of the semester in which they plan to graduate.
Course and Credit Changes
A graduate student may drop a course, add a course, change between audit and credit status, change the number
of credits for a course within the listed range, cancel registration, or withdraw from the University without
special approval until the tenth class day each semester. No credit level changes or grading option changes are
permitted after the tenth week of classes. The deadlines are published each semester in the Schedule of Classes;
the procedures governing each of these transactions are listed below. Drop/ Add and other changes may be done
in person at the Registrar's Office or online at http://www.testudo.umd.edu . Full refunds are not available for
reductions in total credits after the first day of classes. For more information, please see the Refunds
section of this Catalog.
Exceptions to the published deadlines require a petition to the Graduate School which must include the written
approval of the instructor and the Graduate Director of the program. Petitions should be submitted to the
Graduate School, 2123 Lee Building. The graduate program stamp must be placed on the change of grading
option/credit level form.
Withdrawal from Classes
The term "withdrawal" means termination of enrollment in all classes for a given semester. The date of the
withdrawal is indicated on a graduate student's academic record. To withdraw from a semester on or before the
23
last day of classes a graduate student must notify the Office of the Registrar, 1113 Mitchell Building, in writing
or in person. Withdrawal becomes effective on the date notification is received in the Records Office. The
University Refund Policy applies to withdrawals after the first day of classes. Students who withdraw may be in
violation of the University's continuous registration requirement, unless they have received a waiver of
registration from the Graduate School.
If the time limitation in a master's or pre-candidacy student's program has not lapsed (5 years to obtain a
master's degree and 5 years to reach doctoral candidacy), the graduate student is eligible to re-enroll without
readmission provided he or she has received a waiver of registration from the graduate program or has received
an approved Leave of Absence from the Graduate School; withdrawal by a doctoral candidate without an
approved Leave of Absence or Waiver of Registration will officially end the student's status as a graduate
student.
Resignation from the University
A graduate student wishing to withdraw from the University and terminate his or her graduate student standing
may do so by submitting a letter to the Graduate School. The Graduate School will then cancel the student's
admission status, effective the date the letter is received. If the student is registered for classes at the time of his
or her resignation, the Graduate School will ask the Office of the Registrar to withdraw the student effective the
date of the resignation. The University Refund Policy applies for resignation after the first day of classes. A
graduate student seeking to return to the University of Maryland after resigning must reapply for admission and
is subject to all graduate program and Graduate School requirements. He or she may be required to repeat
previously elected courses (see time limits for relevant degree or certificate programs).
Grading Systems
The conventional A through F grading system is used in graduate level courses. A "Satisfactory or Failure" (S-
F) grading system may be used for certain types of graduate study at the discretion of the graduate program.
These include courses that require independent fieldwork, special projects, or independent study. Graduate
program seminars, workshops, and graduate program courses in instructional methods may also be appropriate
for the S-F grading system. The "Pass-Fail" grading system is not available for graduate students. However, a
graduate program may allow, in certain cases, a graduate student to use the Pass-Fail option for 100-300 level
courses. Graduate credit may not be earned for these courses. Either the A-F or the S-F grading system may be
used for master's thesis (799), and pre-candidacy (898) and doctoral dissertation (899) research, as well as for
courses labeled "Independent Study" or "Special Problems." Only one grading system may be used per course
in a particular semester except for thesis and dissertation credits. The grading system will be designated by the
student's graduate program or the graduate program offering the course.
Graduate Credit for Undergraduates
An undergraduate degree-seeking student at the University of Maryland may register for graduate-level courses
(600-897) with the approval of the Dean of his or her academic college, the chair of the department, the
instructor offering the course, and the Dean of the Graduate School. These courses will be recorded as "for
graduate credit only" and may ONLY be applied toward an advanced degree at this university or elsewhere.
Students eligible for this option normally will have achieved Junior standing, will have a GPA of at least 3.0,
and will have successfully completed the prerequisite courses with a grade of "B" or better. The student must
submit a plan of study showing that taking graduate courses will not unduly delay completion of the
requirements for the bachelor's degree. The total of graduate and undergraduate credits attempted in any
semester may not be more than eighteen. The graduate credits so earned will not count toward any requirements
for the bachelor's degree. A maximum of 12 credits may be taken for graduate credit by a student during his or
her tenure as an undergraduate at the University.
24
Undergraduate Credit for Graduate Courses
Subject to requirements determined by the Graduate Faculty of the department or program offering the course,
undergraduate degree-seeking students may register for graduate level courses, (those numbered from 600 to
897) with the exception of 799, for undergraduate credit. The student must obtain the prior approval of the
department and instructor offering the course.
Enrollment in a graduate-level course does not in any way imply subsequent departmental or Graduate School
approval for admission into a graduate program. The course may not be used as credit for a graduate degree at
the University of Maryland except as part of an approved Bachelor's/Master's program into which the student
has been admitted.
Partial Credit for Students With Disabilities
The Graduate School recognizes that students with documented disabilities may be prevented from participating
courses that include laboratories, studio work, or other non- classroom activities in which the student is
prevented from participating because of the disability. Therefore, it is the Graduate School's policy to allow
students with disabilities to enroll in such courses, complete only those parts of the course that their capabilities
permit, and receive credit for the course proportionate to their levels of participation. Students with disabilities
should contact Disability Support Services (DSS) for information and assistance with any disability related
issue. Phone (301) 314-7682 (V/TTY). Graduate students with disabilities who wish to enroll under this policy
should consult the Associate Dean for Student Affairs in the Graduate School. The Dean, in consultation with
DSS, will assist the student in making the necessary arrangements with the graduate program offering the
course, the graduate program in which the student is enrolled, and the Office of Registrar. The final agreement
as to the student's level of participation and the amount of credit to be awarded will be specified in an agreement
to be drawn up by the Associate Dean of the Graduate School for Student Affairs and signed by all parties
concerned.
Inter-Institutional Registration, University System of Maryland
A student admitted to the Graduate School in any institution of the University System of Maryland is eligible to
take courses at any other institution of the University System of Maryland subject to the approval of the
Graduate Directors and the Graduate Deans of the home and host institutions. Credits earned at a host institution
are considered resident credit at the home institution, and, following normal procedures for graduate program
approval, these credits may be used to meet University of Maryland graduation requirements. Transcripts of
courses taken at another institution will be maintained at the home institution and fees will be paid to the home
institution. Forms for registration as an inter-institutional student may be obtained from the Office of the
Registrar.
The Washington Consortium Arrangement
The University of Maryland is a member of the Consortium of Universities of the Washington Metropolitan
Area . Other institutions currently associated with the consortium include American University, The Catholic
University of America, the University of the District of Columbia, Gallaudet University, George Mason
University, Georgetown University, George Washington University, Howard University, Marymount
University, Trinity University, the National Defense University, The Joint Military Intelligence College, and
Southeastern University. Students enrolled in any one of these institutions are able to attend certain classes at
the other institutions and have the credit considered "residence" credits at their own institutions. Grades in these
courses are calculated into the student's GPA. Tuition remission awarded to graduate assistants and fellows may
not be used to pay for courses at other consortium universities. Graduate assistants and fellows must pay for any
courses that they take under the consortium arrangement. Students from schools in the Consortium of
Universities of the Washington Metropolitan Area may register for University of Maryland courses on a space-
available basis beginning with the first day of classes.
25
The policies governing registration through the Consortium Arrangement are listed below.
• Courses for majors in graduate programs at the University of Maryland that have restricted enrollment will not
be available to students from other consortium schools. Similar rules may apply at other consortium
universities.
• Students from consortium schools are expected to meet all prerequisites for University of Maryland courses
for which they wish to enroll. Similar rules may apply at other consortium universities.
• Students from consortium schools will not be permitted to register for practice, workshops, internships, and
other experiential courses at the University of Maryland. Similar rules may apply at other consortium
universities.
• Students from consortium schools who have previously applied for admission to a University of Maryland
graduate degree program and have been denied admission will be permitted to register for graduate courses in
that program only with the specific approval of the Director of Graduate Studies of the program.
• Students from consortium schools who have been dismissed from the University of Maryland for disciplinary
or financial reasons will not be permitted to enroll in courses at the University of Maryland under the
consortium arrangement.
26
Chapter 5: Financial Policies - Tuition and Fees
Payment of Tuition and Fees
Tuition rates and fees are posted on the University's web site at http://www.umd.edu/bursar/Tuitionfees.html .
Tuition, fees, and other University charges may be paid by mail, online ( http://www.umd.edu/bursar ) or in
person at the Cashier's Window of the Bursar's Office, 1135 Lee Building, 8:30 a.m. - 4:30 p.m., Monday
through Friday. The University accepts checks and Visa, MasterCard, American Express, and Discover cards
for payment. Checks should be made payable to "The University of Maryland." Students can also obtain their
account balances through TESTUDO ( http://www.testudo.umd.edu ).
It is the policy of the University not to allow deferment of payment pending the result of an application for
financial assistance to an outside agency, including Veterans Administration benefits, bank loans, or guaranteed
student loan programs.
Each student is individually responsible for his or her bill and for meeting payment deadlines. Failure to meet
these deadlines may result in late charges or cancellation of registration. The University will suspend services to
students for delinquent indebtedness and failure to pay bills. The University will also transfer delinquent
accounts to the State Central Collections Unit, which will levy further late fees and take necessary steps to
obtain payment.
See the most recent Schedule of Classes for more detailed information about payment, fees, and delinquent
accounts. All payment deadlines are published in the Schedule of Classes.
Forms of Financial Aid
The Office of Student Financial Aid administers a number of programs to assist graduate students (e.g. loans
and federal work study). Please see http://www.financialaid.umd.edu for more information.
Emergency Loans
Students may receive up to $500 as an interest-free loan that must be repaid in 60 days. If the loan is not repaid
within 60 days, the amount will be charged against the student's account and late fees may be incurred. These
loans are available from the Office of Student Financial Aid, 1 135 Lee Building. Applicants should bring
documentation of their need. They will then be asked to complete a short loan application form. They will
subsequently meet briefly with a loan counselor who will review their need. The loan counselor will either
approve or deny funds.
Refunds
University Refund Statement
Tuition, fees, and refundable deposits are authorized for refund only if the student completes the prescribed
withdrawal procedures or is dismissed from the University. Residence Hall and Dining Services charges are
authorized for refund only if the student completes the prescribed residence hall and dining services contract
release procedures. Please refer to the current Schedule of Classes for complete refund information and
procedures.
27
Refunds for Withdrawal from All Classes
A Cancellation of Registration submitted to the Registrar's Office before the first day of classes entitles the
student to a full credit or refund of semester tuition and fees.
After classes begin, students who wish to terminate their registration and withdraw from all classes must follow
the withdrawal procedures specified in the Schedule of Classes. Students will find the necessary forms for
withdrawal in 1101 Mitchell Building. The effective date used in computing refunds is the date the withdrawal
form is filed with the Registrar's Office. Stopping payment on a check, failure to pay the semester bill, or
failure to attend classes does not constitute withdrawal.
Students withdrawing from the University will be credited for tuition in accordance with the following
schedule:
Period from date instruction begins
Refundable tuition *
Two weeks or less
80%
Two to three weeks
60%
Three to four weeks
40%
Four to five weeks
20%
Over five weeks
no refund
* Fees are non-refundable after the first day of classes.
Withdrawal from all classes may be a violation of the Graduate School's Continuous Registration policy.
Students withdrawing from classes who intend to continue in their graduate degree or certificate program
should secure a Waiver of Continuous Registration or Leave of Absence from the Graduate School before
withdrawing.
Refunds for Dropping Individual Courses
Graduate students may obtain refunds for courses that are dropped {if dropping a course results in the overall
number of registered credits) during the first ten days of classes. Students may drop and add courses without
penalty provided that the changes are made on the same day and that the total number of credits does not
change. Graduate students are charged by the credit hour. A percentage charge and/or complete charge will be
imposed according to the schedule below:
Prior to the first day of classes -- no charge
100% refund.
During the first ten days of classes - 20% charge.
80% refund.
After the first ten days of classes. -- 100% charge.
0% refund.
For funds to be returned, students must file a request for a refund with the Office of the Bursar. If a request for
refund is not filed, credit on the student account will automatically be carried over to the next semester. Refund
requests may be made by addressing a letter to the Office of The Bursar, Lee Building, University of Maryland,
College Park, 20742, visiting the Student Financial Service Center, Lee Building, Room 1135, between 8:30 am
to 4:30 pm, Monday-Friday, or requesting a refund online through Testudo . A credit balance is not
automatically refunded.
Fellowships, Assistantships, and Financial Assistance
The University of Maryland recognizes the high cost of education today and makes every effort to offer
financial assistance to qualified students through a variety of programs. Approximately seventy percent (70%)
of all full-time graduate students receive financial support, which may include remission of tuition, teaching and
28
research assistantships, work-study support, and University and other fellowships. Referrals for University or
area employment opportunities for students and students' spouses are also available in various graduate
programs and in specific student service centers at the University.
Admission to a graduate degree program is a prerequisite for the award of a teaching or research assistantship, a
fellowship, a traineeship, a loan, or a work-study award.
Graduate Fellowships
Graduate Fellowships are funded by the Graduate School through grants allocated to the academic colleges
specifically for this purpose. Applicants and current students must apply directly to their Graduate Programs for
fellowship funding. The Graduate School offers a limited number of dissertation fellowships. Applications are
solicited annually. More information may be obtained from the Graduate
School, http://www. gradschool.umd.edu/prospective students/gs fellowships.html . For more information, also
please see the Fellowships chapter of this Catalog.
Graduate Assistantships
A graduate assistantship is an academic appointment not involving academic tenure. Such assistantships take
the form of teachings assistantships, research assistantships or, in a few cases, administrative assistantships.
Offers of these positions are made to graduate students directly by the programs and departments.
The assigned duties of a graduate assistant are consistent with the aims and objectives of the teaching and
research missions of the University. An appointment of 20 hours per week is considered a full-time
assistantship. An appointment of 10 hours per week is considered a half-time assistantship. The responsibilities
assigned to a graduate assistant should take into account what may be reasonably expected given the graduate
assistant's education and experience.
For more information, please see the Assistantships chapter of this Catalog .
Overload Payments for Graduate Students
Under certain circumstances, fellows and graduate assistants may be offered employment in addition to their
normal appointments. As outlined in Chapter 15: Graduate Assistants and Chapter 16: Graduate Fellows,
approval for such overload payments must be obtained from the Graduate School in advance of the
appointment. The required request form can be found
at http://www. gradschool.umd.edu/images/uploads/overload.pdf .
Travel Grants
The Graduate School administers the Jacob K. Goldhaber travel grants for graduate students. Goldhaber grants
are available to support part of the cost of attending conferences at which graduate students will present the
results of their research. Because funding is limited, students are urged to apply as soon as their presentations
have been accepted. More information is available
at http://www. gradschool.umd.edu/current students/travel awards.html .
29
Chapter 6: Policies for Graduate Assistantships
Introduction
Graduate Assistants are, first and foremost, graduate students pursuing an education. The opportunity to work
closely with faculty members and undergraduate students in teaching, research, or administrative environments
is an integral part of that education.
Graduate students who hold assistantships benefit educationally and professionally. They gain further
expertise in their field; enhance their research skills and develop pedagogical skills; acquire experience in
leadership, interpersonal effectiveness, and performance evaluation; acquire academic administrative
experience; and enjoy collegial collaborations with advisors that may result in joint publications and other
professional activities. Skills learned in assistantships prepare students not only for the academy, but also for
corporate, government, and nonprofit organizations.
Assistantships also provide graduate students with the financial resources necessary to pursue their degrees.
This financial support — stipend, tuition remission, and benefits — is part of the University's commitment to the
success of our graduate students.
The University is committed to ensuring that graduate assistant assignments are productive, enhance student
qualifications, meet funding support and workload goals, and are consistent with the educational objectives of
the student and his or her program.
I. General Policies
Categories
The official title of Graduate Assistant (GA) is used in all university documents, but, in general practice,
Graduate Assistants are referred to either as Graduate Teaching Assistants (TAs), Graduate Research Assistants
(RAs), or Graduate Administrative Assistants (AAs). Additionally, a small number of Graduate Assistants serve
as resident life counselors. Qualified graduate students often move between these kinds of appointments during
their graduate education.
Administration
Graduate Assistants at the University of Maryland, College Park are under the direct supervision of the
department, program, or unit that offers the appointment. The department determines the GA's assignment,
supervises his or her work, and recommends him or her for reappointment and promotion to various stipend or
compensation levels. The department is the primary source of information for the details of the assistantship.
Within the department, the GA's work assignment is determined by the Department Chair, the Director of
Graduate Studies, any duly appointed executive committees and assistants to the chair, and/or the faculty
member assigned to supervise the GA's particular course, laboratory session, or research project. Graduate
Administrative Assistants are under the supervision of the heads of the academic or non-academic units in
which they work.
Student Status
A Graduate Assistant is on an academic appointment not involving academic tenure. The appointment may be
full-time (20 hours per week) or half-time (10 hours per week).
GAs holding regular 20-hour appointments are considered full-time students by the University if they are
registered for at least 24 units. GAs who hold half-time (10 hour) assistantships are considered full-time
30
students if they are registered for 36 units. Audited courses do not generate units and cannot be used in
calculating registration status. Individual departments or graduate programs may have higher registration
requirements for their GAs.
Qualifications
A Graduate Assistant must be a registered graduate student in good standing enrolled in a degree program at the
University of Maryland, College Park and must be making satisfactory progress toward the degree.
Appointments are normally given to those students who have shown superior aptitude in their field of study and
who appear likely to render a high quality of service to the university by their teaching or research activities or
their administrative work in a unit. Advanced Special Students are not eligible to hold Graduate Assistantships.
In rare instances, an appointment of a Graduate Research Assistantship (RA) may be made for a graduate
student who has been admitted into a graduate degree program at another campus within the University System
of Maryland. In this exceptional case, the student will be supported by a Principal Investigator whose research
contract or grant is administered by the College Park campus. The student's tuition, benefits, etc. will also be
paid from research funds.
English Proficiency Requirements for International Students
International Teaching Assistants (IT As) who are non-native speakers of English are required to undergo an
evaluation of their spoken English abilities by the Maryland English Institute (MEI). The ITA Evaluation is not
required of students who serve only as graders or researchers, or whose entire education has been in the U.S,
United Kingdom, Ireland, English-speaking Canada, Australia, New Zealand, Anglophone Africa, or
Commonwealth Caribbean. Students must pass the ITA Evaluation prior to being assigned teaching duties,
including duties in labs. This requirement may not be waived.
The Graduate School pays the fee for the ITA Evaluation for students who have been formally appointed as
TAs. All other students are responsible for paying this fee. If the department wishes to cover the cost of the
evaluation for those students, the Graduate Director must indicate this in writing on the referral form.
Students who fail the ITA Evaluation are required to take an English course. On the basis of the evaluation
results, MEI will place the student into either UMEI 006 (pronunciation) or UMEI 008 (broader communication
patterns). If the student has been formally appointed as a TA, the department is responsible for the tuition of the
course and may not pass the cost of this instruction on to the student. If the student fails the ITA evaluation and
is not an ITA, the student is responsible for paying tuition for the course. Tuition remission cannot be used for
UMEI courses.
Full details regarding the ITA Evaluation can be found
at http://www.education.umd.edu/institutesandcenters/MEI/ELTs/ITAE.htm .
II. Appointments
Appointment, Reappointment, Duration of Appointment
Most Graduate Assistants are appointed either for a regular academic year (9.5 months) or for 12 months. Some
appointments may be for a shorter period. The academic-year appointment begins in mid-August and ends in
May. Students may be reappointed one or more times at the discretion of the department in which they serve.
To allow a larger number of qualified students to benefit from assistantships, many departments limit the
number of years that a graduate student may serve as an assistant in any capacity.
Each department is responsible for determining and communicating its own specific criteria, within the limits of
university policy, for assessing student qualification for appointment and reappointment to a graduate
31
assistantship. In general, reappointment is dependent upon satisfactory performance and normal progress toward
a graduate degree. As with all university faculty and staff positions, appointment and reappointment are
contingent upon the availability of funds.
Letters of Appointment
It is the responsibility of the department to notify the graduate student in an official letter of the final offer of
appointment. These letters provide information on the terms of the assistantship and should be explicit and clear
with respect to workload expectations. A template can be found at the following
link: http://www.gradschool.umd.edu/images/uploads/GA%20Appointment%20Letter%20Template.doc .
Performance Reviews
Each department is responsible for determining procedures for review and evaluation of Graduate Assistants
and for informing GAs of these procedures. The process of evaluation will vary by departments, and may
include written assessment of work by an individual faculty member, classroom visitation by designated faculty
members, and written student evaluations. The results of reviews and evaluations should be discussed with the
GA concerned.
Termination or Loss of Support
A Graduate Assistant's appointment may be terminated before the expiration of its designated term for loss of
funding, for cause, for academic delinquency, by written notice, and by voluntary mutual agreement.
A. Loss of Funding. A graduate assistantship may be terminated on account of a loss, reduction, or reallocation
in appropriation, grant, contract, gift, or other funds with which to support the appointment. Subject to the
fiscal priorities of the unit, programs will make a good faith effort to find alternative funding for the full term of
the appointment for a GA who is in good standing and making satisfactory progress to degree. The University
will give the GA 30 calendar days written notice of termination for loss of funding.
B. Cause. An appointment may be terminated immediately for cause. The following are examples of sufficient
cause for removal: incompetence, inefficiency, wanton carelessness or neglect of duty, insubordination,
repeated or extended absence, and misconduct related to the GA's suitability or capacity to continue to perform
assignments. A GA may be suspended from responsibilities without pay pending the investigation of cause for
termination of the appointment.
C. Academic Delinquency. An appointment may be terminated if the GA is not making satisfactory academic
progress to a degree or is otherwise not in good academic standing. The termination shall be in writing and may
be immediate or with such notice as the University believes compatible with the GA's academic situation, not to
exceed 30 calendar days.
D. Written Notice. An appointment may be terminated by delivery of 30 days written notice to the GA.
E. Voluntary Agreement. With the agreement of the University, an appointment may be terminated by the
voluntary written resignation of the GA.
Special Appeals Procedures
A Graduate Assistant, whose appointment shall be terminated for the reasons A., B., C., or D., above, may
obtain a review by the Chair of the Department under the Informal Consultation procedure in Section VII,
below. Thereafter, if desired, the GA may obtain a special review by the Dean of the unit where the
assistantship is located. 7 The GA shall initiate the formal review by sending a letter to the Dean with copies to
32
the faculty member and the Department Chair. To be considered, the letter must be received by the Dean within
15 calendar days from the date the GA is first informed of the intent to terminate the assistantship.
The grounds for appeal in terminations based on Loss of Funding, Academic Delinquency, and Written
Notice shall be prejudicial procedural error and/or a violation of substantive due process. 2 The burden of proof
in these types of termination shall be upon the GA. The burden of proof in terminations for Cause shall be on
the faculty member to demonstrate that cause exists and warrants termination.
Upon receipt of the letter requesting formal review, the Dean will:
1. Solicit a written response from the faculty member; and,
2. Offer to meet with the GA and the faculty member, either individually or together, before reaching a
decision. The Dean shall consult with the Department Chair and such other persons as the Dean believes may be
knowledgeable about the matter. The Dean shall endeavor to convey a written decision and, where appropriate,
the remedy, to the GA and the faculty member within 10 calendar days of receipt of the letter requesting formal
review.
3. The decision of the Dean shall be final in all matters pertaining to the review.
Renewal and Non-Renewal of Appointment
The University does not guarantee an appointment as a Graduate Assistant will be renewed at the end of its
designated term. Although appointments are often renewed, the University cannot promise and there can be no
expectancy that a graduate assistantship will be continued over an extended period of time.
1 For assistantships in non-academic units, "Dean" shall mean the Vice President of the division.
2 A termination would violate substantive due process if it is arbitrary or capricious or if it were based on an illegal or unconstitutional
consideration.
III. Duties and Time Commitments
The assigned duties of a Graduate Assistant are consistent with the objectives of the teaching and research
missions of the university, including the objective that assistantships are to be educationally productive for
graduate students. Workload expectations of the department, and of the student's advisor/supervisor, should be
explicit and clear. The appointment may be full-time (20 hours per week) or half-time (10 hours per week).
Departments are to provide work assignments that GAs receiving full stipends can satisfactorily complete in no
more than a 20-hour average work week, and are to ensure that GAs spend no more than 20 hours per week on
average throughout the term of appointment on work unrelated to their research. The actual number of hours
required to complete assignments in any given week may vary.
Graduate Teaching Assistants
The specific duties of Graduate Teaching Assistants (TAs) vary across disciplines and departments. For the
majority of teaching assistants, however, assignments and responsibilities fall into four categories:
■ Assuming teaching responsibility for a laboratory or discussion session of a course;
■ Assuming teaching responsibility for a classroom section of a multi-sectional course, under the close
supervision of the director(s) of the course;
33
■ Assisting a faculty member in the grading, advising, and administrative duties necessary for a
course(s);
■ Assisting in general departmental administrative duties, such as advising or the administration of
community programs, workshops, etc.
Within a department, the particular assignment depends on the department's needs and the experience and
academic qualifications of the TA. All graduate TAs serving in any capacity are under the direction and close
supervision of a member of the faculty.
Time Commitment: For TAs, the 20-hour average should include the time spent in faculty lectures, class
preparation, classroom or laboratory teaching, reading and commenting on student papers or examinations,
office consultation, and other duties required to carry out the teaching role.
The time that TAs devote to their assignments varies. The proportion of hours spent in preparation, classroom
or laboratory time, and grading, for example, differs from one discipline to another. In some disciplines, a new
TA may find that a task such as grading initially requires more time than the usual 20-hour weekly average
allows.
TAs may be required to come to campus prior to the actual beginning of classes to participate in orientation and
class-preparation duties. TAs usually complete their formal duties when examinations have been graded.
Graduate Research Assistants
The specific duties of Graduate Research Assistants (RAs) vary according to the nature of the research project
in which they participate and the source of the funding. RAs may occasionally be asked to conduct some work
at home or to do their research at times when classes are not officially in session. The duties of RAs are also
performed under the close direction and supervision of a member of the faculty.
Time Commitment: For RAs, the 20-hour average should include the time spent in library and/or laboratory, and
on all other research tasks providing assistance to the assigned project.
Graduate students working on research projects funded by grants are often also working on material directly
related to their theses or dissertations. It is not unusual in such cases for grant work and personal work to merge
and for the work time to consume far more than the usual 20-hour weekly average.
Graduate RAs usually follow the project director's instructions regarding work when classes are not in session.
Graduate Administrative Assistants
A number of academic and non-academic units employ Graduate Administrative Assistants (AAs), generally to
perform administrative support functions in an office setting. Such positions are expected to have a research or
professional development component. Some administrative appointments are for less than one academic year.
Time Commitment: For AAs, the 20-hour weekly average should include all time spent on assigned duties,
including mandatory training sessions. Unless explicitly stated in writing, AAs are expected to work no more
than the 20-hour average workweek. If greater amounts of time are periodically required, the unit must provide
the AA with an offer letter that includes a statement of expected duties, approximate dates when extra hours
might be necessary, and maximum work hours required. If the AA is required to work more than 20 hours in a
given week, the time should be deducted from another week.
Just as the unit may require the AA to work more than 20 hours in a given week to meet peak work periods, the
AA may request that he or she be allowed to reduce time in a given week to finish a paper or study for an exam
and make up the hours later. Such arrangements are allowed and encouraged and should be made between the
student and the student's supervisor within the unit.
34
AAs follow the staff holiday and vacation schedule. Consequently, if the campus is closed (for any reason) for
regular staff, AAs who normally would work those days will receive the appropriate compensation and will not
be required to make up the hours missed.
Conflict Resolution
A GA who experiences problems related to workload should address them without delay through the process
indicated in Section VII, below.
IV. Compensation
Compensation and Stipends
Three categories (called Steps) are currently used for the classification of graduate assistantships. These steps,
based on a student's experience and progress toward the degree, determine compensation levels. Graduate
Assistants fall into one of the three steps: Step I is only for first-year GAs; Step II is for second-year GAs, as
well as for those students, new or continuing, holding a master's degree; and Step III is reserved for doctoral
candidates.
The Graduate School sets the minimum stipend level for Step I. Departments and programs determine their own
increments for Step II and Step III within guidelines set annually by the Graduate School. All GAs working
within a particular step, in a particular unit, should be paid the same assistantship stipend.
TAs must be offered a 9.5-month or 12-month assistantship due to duties and responsibilities occurring after the
last day of classes.
Additional Employment: On-Campus
Graduate Assistants may be employed on campus for an additional 10 hours per week beyond their assistantship
duties, with an overload approval. No individual may be employed in two capacities in the same department
without an overload approval. International students may be limited to a certain number of hours of
employment according to their visa status; these students should check with the International Education
Services Office, 3117 Mitchell Building, phone 301-314-7740.
Domestic students who are GAs and who wish to hold more than one position on campus may do so only if the
second position is paid on an hourly basis with Labor & Assistants funds (sub code 2075). This policy is
necessary to avoid complications concerning benefits. For such individuals, the only benefits allowed are those
associated with the graduate assistantship.
Additional Employment: Off-Campus
It is expected that the combined responsibilities of graduate studies and assistantship duties will fully occupy a
student during the academic year. The University, however, does not prohibit Graduate Assistants from
accepting outside employment in addition to their assistantship appointment. It is up to the GA to determine
how much time, if any, he or she can devote to additional activities while still maintaining satisfactory progress
toward the degree and satisfactory fulfillment of the assistantship responsibilities. Departments and programs
have the discretionary right, however, to make appointments to students whose commitment suggests that they
are most likely to attain their educational goals and maintain their assistantship responsibilities expeditiously
and effectively.
35
Overload Payments for Graduate Students
Overload requests are for temporary, short-term arrangements only. They must be limited to one semester per
request and must be received and approved prior to the beginning of the appointment. No graduate student may
be employed in two capacities within the same department without an overload approval.
9.5-month Appointments
A full-time GA (20 hours per week) on a 9.5-month appointment must have an overload approval for any on-
campus employment above the assistantship assignment while classes are in session for the Fall and Spring
semesters.
An overload request must be submitted for Winter Term only if the student is teaching a Winter Term course, as
a TA or lecturer, in addition to his or her normal assistantship assignment.
An overload request must be submitted for Summer terms only if a student (a) is paid in the home unit over four
equal pays for summer or is paid hourly for 20 hours per week and (b) also will be paid in a second unit or in
Summer Programs. (The overload form should be completed for the second unit or Summer Programs.)
12-month Appointments
A full-time GA (20 hours per week) on a 12-month appointment must have an overload approval for any
employment above the assistantship assignment when classes are in session during Fall and Spring semesters.
During Winter Term and Summer terms, an overload request must be submitted only if the student is teaching a
class, either as a TA or lecturer, in addition to the assistantship appointment.
International Students
Federal Law prohibits international students from working more than 20 hours per week while classes are in
session; international students holding full-time assistantships (20 hours) are therefore ineligible for overload
assignments during the Fall and Spring semesters.
Sources of Funding
GAs may not be employed in more than one position eligible for benefits; their percentage on payroll may not
exceed 50%. Hours over and above the assistantship must be paid with Labor & Assistants funds (sub code
2075).
Retirement and Social Security (FICA)
Retirement benefits are not withheld from the salaries of Graduate Assistants. GAs are exempt from Federal
Insurance Contribution Act (FICA) taxes provided that they maintain enrollment and are registered with at least
half-time status.
Tax Status
Pursuant to U.S. federal tax code revisions effective January 1, 1987, all graduate students are liable to pay
income tax on compensation received for Graduate Assistantships. The amount remitted for tuition is a benefit
and is not taxed. A GA with questions about tax obligations should consult a tax counsel or the Internal
Revenue Service (1-800-829-1040).
36
V. Tuition Remission and Benefits
Tuition Remission and Mandatory Fees
Graduate Assistants on a full-time appointment (20 hours per week) are eligible for 10 credits of tuition
remission in the Fall and Spring semesters and 4 credits in Winter Term. GAs on a half-time appointment (10
hours per week) are eligible for 5 credits of tuition remission in the Fall and Spring semesters and 2 credits in
Winter Term. GAs on a full-time 12-month appointment are also eligible for up to 8 credits of tuition remission
during Summer; and GAs on a half-time 12-month appointment are eligible for up to 4 credits during Summer.
Tuition remission is credited at the prevailing standard in-state credit hour rate at the time the class is taken.
Some programs, such as the MBA, have higher credit hour rates or flat fee pricing. The tuition remission benefit
does not cover the difference, which remains the responsibility of the GA.
Tuition remission does not cover Mandatory Fees. Please see the Schedule of Classes for a current schedule of
Mandatory Fees.
Residency Classification
All Graduate Assistants on a full-time or half-time appointment are billed at the in-state rate for credits taken
during their appointment, including any credits taken over the tuition remission allowance. Official residency
classification, however, does not change. Consequently, at any time when a graduate student is no longer
supported by an assistantship — including summer months if the student is on a 9.5-month assistantship — he or
she will be billed according to the official residency status that was assigned upon admission. Thus, a student
may pay in-state rates during the academic year but out-of-state rates during the summer if the student is
classified as out-of-state. Graduate students are urged to be aware of their official residency classification status
and to address any problems immediately.
Questions about residency classification and about changing residency status should be addressed to the
Residency Classification Office, Room 1118 Mitchell Building, phone 301-405-2030.
Health Insurance
Graduate Assistants on a full-time or half-time appointment may enroll in the university employee health
benefits program. The personnel coordinator in the student's department should be able to provide appropriate
forms. GAs must enroll within 60 days of their initial employment to be eligible for a health care program. GAs
may enroll their spouses and children under this program.
Any graduate student who is ineligible for the employee health care program may enroll in the student health
insurance program offered by the University Health Center. For more information, call the University Health
Center Insurance Office at 301-314-8165.
Facilities and Parking
It is the expectation that departments will provide Graduate Assistants with suitable workspace, laboratory
space, and, when necessary, office space. GAs also generally have access to desks, file space, mailboxes,
computers, telephones, and duplicating machines or services.
Vehicles must display a valid UMCP parking permit or be parked in metered spaces. While GAs are not
assigned to faculty parking lots, the Department of Transportation Services endeavors to assign GAs to a
student lot close to the building where they work. Students who register early have the best choice of parking
37
assignments. The Department of Transportation Services is located on the ground floor of Regents Parking
Garage, phone 301-314-PARK. Parking for GAs is not subsidized; each GA is responsible for the cost of his or
her parking permit.
Time Away from Duties
The objective of graduate assistantships is education. They are a component of learning and, as practicum,
advance understanding through application. Stipends are an acknowledgment both of the expense and need for
support during graduate education and of the contribution made by the Graduate Assistant to the mission of the
University. The relation between the GA and a professor is academic, partaking of the traditions and practices
of the academy. While an appointment as graduate assistant shares some attributes of employment, these are
secondary. Time away from duties is foremost time away from class, not time away from the office. The
following "Time Away" policies reflect these principles.
A. Accrued Leave. Graduate Assistants do not earn paid annual, personal, or sick leave.
B. Time-Away from Duty. Graduate Assistants working full-time on 12-month appointments may have time-
away from their duties. A full time (20 hours per week), 12-month assistantship carries the expectation that the
GA will be allowed ten workdays (40 hours) of collegially supported absence. This time away from duties must
be taken during the current appointment. It may not be accumulated or transferred. It does not include time
when the University is closed. Because colleagues must perform the GA's responsibilities during an absence,
reasonable notice and prior approval by the GA's supervisor are required.
Time-away from duty may be used for such purpose as the GA elects and is, therefore, distinct and separate
from allowable absences for illness, maternity, or adoption.
C. Absence due to Illness. If a Graduate Assistant becomes ill, time away from duties should initially be
supported collegially. Occasional, short-term absences on account of illness generally will not require the use of
the allowable "time-away from duty" days.
In the event an absence due to illness extends for a period longer than two weeks, support for time away from
duties must be requested by the GA and lies in the discretion of the head of the funding unit (in the case of a
State supported assistantship) or of the Principal Investigator or other grant administrator (in the case of an
externally funded assistantship). The GA's request must be accompanied by supporting medical documentation
satisfactory to the University, including a letter from a physician or other licensed heath-care professional that
provides (1) the nature of the illness; (2) a statement that the GA should not return to work for health reasons;
and (3) the duration of the required absence. The University may require the GA to have a fitness for duty
examination prior to resuming duties.
D. Absence due to Maternity or Adoption. Graduate Assistants seeking time away from duties for reasons of
childbirth or adoption must discuss this with their graduate director or supervisor as soon as possible. The
duration and nature of support lie in the discretion of the head of the funding unit or the Principle
Investigator/grant administrator.
VI. Codes of Conduct
Conduct and Professional Behavior
A Graduate Assistant's teaching, research, and administrative activities are subject to the ethical precepts and
codes of the academic profession, to the laws of the State of Maryland regarding its employees, and to
University policies governing institutional obligations. Violation of any of these regulations constitutes a basis
for disciplinary action in accordance with procedures set forth in the University's policies.
38
In their interactions with students, faculty, and all other members of the university community, GAs are
expected to conduct themselves with the same sensitivity and thoughtfulness that they expect to receive from
others. The University Human Relations Code states that the University of Maryland affirms its commitment to
a policy of eliminating discrimination on the basis of race, color, creed, national origin, sex, sexual orientation,
marital status, personal appearance, age, physical or mental disability, political affiliation, or on the basis of the
exercise of rights secured by the First Amendment of the United States Constitution.
The precepts stated above apply equally to GAs and to supervisors of GAs.
Equal Opportunity Statement
The University of Maryland is an equal opportunity institution with respect to both education and employment.
The university does not discriminate on the basis of race, color, religion, age, national origin, sex, or disability
in admission to or access to, or treatment of employment in, its programs and activities, as required by federal
law (Title VI, Title IX, Section 504) and state laws and regulations. Inquiries regarding compliance with Title
VI of the Civil Rights Act of 1964, as amended, Title IX of the 1972 Education Amendments, Section 504 of
the Rehabilitation Act of 1973, or related legal requirements should be directed to:
Director, Human Relations Program
Office of Human Relations
1130 Shriver Lab
University of Maryland
College Park, MD 20742
Telephone: 301-405-2838
Inquiries concerning the application of Section 504 and Part 34 of C.F.R. to the University of Maryland may be
directed to:
Director, Disability Support Services
0126 Shoemaker Hall
University of Maryland
College Park, MD 204742
Telephone: 301-314-7682 (V/TTY)
Scholarly Misconduct
Scholarly misconduct means fabrication, falsification, plagiarism or other misconduct in proposing, performing,
reviewing, or reporting research and/or in connection with other scholarly or creative activities.
Other terms such as research fraud, scientific misconduct, or research misconduct are subsumed within the term
scholarly misconduct. Scholarly misconduct does not include honest error or honest differences of opinion. A
finding of scholarly misconduct requires that there be a significant departure from accepted practices of the
scholarly community for maintaining the integrity of the research or scholarly record; the misconduct must be
committed intentionally, or knowingly, or in reckless disregard of accepted practices; and the allegation must be
proven by a preponderance of relevant evidence.
The full text of the University of Maryland Procedures for Scholarly Misconduct can be found
at http://www.president.umd.edu/policies/docs/III-110A.pdf .
Sexual Harassment
The University of Maryland is committed to maintaining a learning and work environment in which students,
faculty, and staff can develop intellectually, professionally, personally, and socially. Such an environment must
39
be free of intimidation, fear, coercion, and reprisal. The University prohibits sexual harassment. Sexual
harassment may cause others unjustifiable offense, anxiety, and injury. Sexual harassment threatens the
legitimate expectations of all members of the campus community. Academic progress or progress in
employment is determined by the publicly stated requirements of classroom and job performance, and the
campus environment will not unreasonably impede study or work.
Sexual harassment by university faculty, staff, and students is prohibited and constitutes violation of campus
policy. Sexual harassment may also constitute violations of the criminal and civil laws of the State of Maryland
and the United States. For the purpose of campus policy, sexual harassment is defined as follows: 1) unwelcome
sexual advances; or 2) unwelcome requests for sexual favors; and 3) other behavior of a sexual nature where:
■ Submission to such conduct is made either explicitly or implicitly a term or condition of an individual's
employment or participation in a university-sponsored educational program or activity; or
■ Submission to or rejection of such conduct by an individual is used as the basis for academic or
employment decisions affecting that individual; or
■ Such conduct has the purpose or effect of unreasonably interfering with the individual's academic or
work performance, or of creating an intimidating, hostile, or offensive educational or working
environment.
The full text of the University of Maryland Policy and Procedures on Sexual Harassment can be found at .
Statement on Sexual Relationships and Professional Conduct
While sexual relationships between instructors and the students in their classes are not prohibited in the sense
that penalties are attached to such conduct, all members of the campus community are urged to consider the
ethical concerns that may arise as a result of such relationships.
All members of the campus community should understand that sexual relationships that occur in the context of
educational evaluation are generally deemed very unwise because they present serious ethical concerns. Many
professional codes of conduct prohibit sexual relationships that occur within the context of one's profession.
Accordingly, faculty, supervisors, and Teaching Assistants are warned about the possible costs of even an
apparently consenting relationship. The element of power implicit in sexual relationships occurring in the
academic -evaluation context can diminish a student's actual freedom of choice. There is doubt whether any such
relationship can truly be consensual. In addition, sexual relationships between a faculty member or Teaching
Assistant and a student create an environment charged with potential conflicts of interest. Questions of
favoritism frequently arise. As a result, such conduct may subvert the normal structure of incentives that spur
work and learning and interjects attitudes and pressures that are not consonant with the education policies and
principles to which the campus is committed.
The full text of the University's Statement on Sexual Relationships and Professional Conduct can be found at
the end of the University of Maryland Policy and Procedures on Sexual Harassment
at http://www.president.umd.edu/policies/vil20a.html
VII. Grievance Procedure
The University is an academic and collegial community. Regular and clear communication between Graduate
Assistants and their advisors and supervisors is essential to maintaining an effective educational environment.
GAs who believe their workload is not in conformity with these Policies for Graduate Assistantships may seek
a review in accordance with this Section.
40
In addition to workload, a GA may also seek review under this Section of whether the GA is receiving Overload
Payments, Tuition Remission, and Time Away from Duties in accordance with these Policies.
For the purpose of this Section, "workload" shall mean the greater of (a) the average number of hours assigned
to the GA throughout the term of an appointment (e.g., 20 hours per week), or (b) the average number of hours
throughout the term reasonably required for an experienced GA in the GA's department to complete the GA's
assigned work.
In all instances noted above, the GA should attempt to resolve these matters locally, collegially, and
informally. If the difficulty has not been resolved to the GA's satisfaction through informal means, then he or
she may elect to file a formal grievance.
Informal Consultation
The Graduate Assistant should first attempt to resolve the difficulty by discussing the situation with his or her
faculty advisor/supervisor as expeditiously as possible. 7 In the case of a TA, this usually would be the professor
in charge of the course; in the case of an RA, the director of the research project on which the student is
working; in the case of an AA, the immediate supervisor of the student in the unit in which the student is
working.
The GA should provide the reasons for complaint and a suggested resolution/remedy.
If a satisfactory resolution is not reached, the GA should next discuss the situation with the Chair of the
Department. 2
Either before or after such discussions, the GA may wish to seek advice from another academic advisor, the
Director of Graduate Studies of the GA's program, an associate dean of the Graduate School, or the Ombuds
Officer for Graduate Students. The GA is strongly encouraged to consult with the Ombuds Officer early in the
informal discussion process, and must consult with the Ombuds Officer before initiating a formal grievance.
Ombuds Officer for Graduate Students
The Ombuds Officer is available to all graduate students with questions or concerns related to their graduate
experience, including their roles as GAs. The Ombuds Officer provides informal assistance in resolving
conflicts and works to promote fair and equitable treatment within the University. The Ombuds Officer works
confidentially within the scope of the law. The purpose of the Ombuds Officer is to ensure that the graduate
student's voice is heard and that problems receive prompt and impartial attention. The Ombuds Officer does
not advocate for an individual; rather, the Ombuds Officer advocates for a fair process that promotes the
University's commitment to excellence in graduate education and in the graduate student experience. Queries
may be directed to Ombuds Officer for Graduate Students, The Graduate School, 2103 Lee Building, phone
(301)405-3132.
Formal Grievance
Most problems related to assistantships are resolved through informal consultation. If a problem pertaining to
Workload, Overload Payment, Tuition Remission, or Time Away for Duties has not been solved informally to
the GA's satisfaction, he or she may initiate a formal grievance. The formal procedures outlined below are
intended to provide a mechanism through which grievances related to assistantships can be formally made and
decided.
The Grievance Procedure . The process of formal consideration offers the GA a review by the Dean of the
Graduate School or by a panel appointed to make a recommendation to the Dean of the Graduate School. The
steps are as follows:
41
If a satisfactory resolution has not been achieved following informal consideration by the Chair of the
Department, the GA may initiate a formal grievance by sending a letter to the Dean of the Graduate School. To
be considered, it must be received by the Graduate Dean within 30 calendar days from the action involved or
from the GA having reasonable knowledge of it. Under exceptional circumstances, that deadline may be
extended at the discretion of the Graduate Dean.
A. The letter must be signed and:
1. Contain a clear description of the facts giving rise to the grievance; and,
2. Identify the provision(s) of these Policies for Graduate Assistantships which have been violated; and,
3. Set forth the desired remedy; and,
4. Be copied to the faculty member and the Chair of the Department.
5. Elect to have the Graduate Dean decide the grievance either:
(a) In the manner described in Paragraph B.3., below; or,
(b) Following receipt of a recommendation from a three-person panel appointed by the Graduate Dean to
consider the matter.
B. Upon receipt of a letter of formal grievance, the Graduate Dean will:
1. Share the letter with the Dean of the appropriate college or school.?; and,
2. Solicit a written response from the Department Chair.
3. Offer to meet with the GA and the faculty member, either individually or together, before reaching a
decision. The Graduate Dean shall consult with the Academic Dean and such other persons as the Graduate
Dean believes may be knowledgeable about the policies and practices involved. The Graduate Dean shall
endeavor to convey a written decision and, where appropriate, the remedy, to the GA and the faculty member
within 15 calendar days of receipt of the letter of grievance.
4. If the GA elects to have a panel, the Graduate Dean will appoint two graduate faculty (one of whom shall
chair the panel) and one graduate student, each familiar with the GA's discipline but not from the GA's
program or department, to review the matter and make a recommendation. The Graduate Dean will provide the
panel with the letter of formal grievance and the written response of the Department Chair. The panel shall offer
to meet with the GA and the faculty member and proceed in the manner described in Paragraph B.3, above.
The Panel shall provide the Graduate Dean a written report containing a statement of the issues, the panel's
findings of fact, the controlling policy provisions, the panel's conclusions regarding the merits of the grievance,
and a recommended disposition of the grievance, including any suggested remedy.
The Graduate Dean shall decide the grievance and fashion any necessary remedy, giving substantial weight to
the findings, conclusions, and recommendations of the panel.
5. The decision of the Graduate Dean regarding the merits of a grievance and, where appropriate, the remedy,
shall be final.
42
General Principles Controlling Formal Grievance Procedures . These Section VII procedures are not intended to
mimic a courtroom and be adversarial in nature. Rather, they are formal in the meaning of offering a structured
method to investigate, weigh and remedy differences. They are designed to preserve collegiality and minimize
injury to the student-faculty relationship. Because grievances, if not made known or not considered
expeditiously, threaten the learning experience, GAs, faculty, and administrators share responsibility alike to
deal with them promptly. Experience has shown that the following rules promote the orderly and efficient
disposition of grievances. Accordingly, they shall be observed:
A. There is a burden of proof. The GA has the responsibility of convincing the Graduate Dean or panel of three
things: a) that the Policies of Graduate Assistantships has not been followed; b) that the GA has been adversely
affected; and c) and that the requested remedy is appropriate.
B. All matters to be considered in support or defense of a grievance should be made known as early in the
informal process as possible. Absent extenuating circumstances, matters not raised in the informal process
should not be considered in the formal process. In both the informal and formal process, it is the responsibility
of the GA and faculty member, respectively, to produce in a timely way the evidence they each wish
considered, including any documents and witnesses.
C. The Grievance Procedure is not a trial. Formal rules of evidence commonly associated with criminal and
civil trials may be counterproductive in an academic investigatory process and shall not be applied. The Dean,
Graduate Dean, and three-member panel shall give effect to the rules of confidentiality and privilege, but shall
otherwise accept for consideration all matters which reasonable persons would accept as having probative value
in the conduct of their affairs, giving it such weight as they consider proper. Unduly repetitive, irrelevant, or
personally abusive material, however, should be excluded. They may also consider matters within the common
knowledge and experience of University faculty, including published policies of the University System of
Maryland and the University of Maryland.
D. The GA may be assisted at any meeting by an advisor, who must be a registered, degree -seeking graduate
student at the University. Although the GA is expected to take an active role in all meetings, the advisor may
help with the presentation of arguments and evidence.
E. The University has in place other grievance procedures and administrative processes designed to address
specific types of claims. 4 These are meant to be the exclusive avenue for review and redress. Grievances that
by their subject matter may be considered under other established institutional procedures must be brought
under those procedures and may not be considered under this these Section VII formal procedures. Matters
pertaining to the general level of wages, wage patterns, fringe benefits, or to other broad areas of financial
management and staffing are not grievable. Matters expressly excluded from consideration under other
procedures may not be grieved under these Section VII formal procedures. These procedures also may not be
used to challenge faculty judgment about a GA's academic performance (including, for example, test scores,
grades, waivers, dissertation defenses and other indicia of mastery of subject matter and taught skills).
F. The filing of a grievance does not relieve the GA of the obligation to perform all duties as assigned unless
and until otherwise decided pursuant to a decision under these procedures. All remedies will operate
prospectively. 5 Financial awards (e.g., "back pay," "damages," "compensation," and "raises") may not be
awarded. The acceptance of a proposed remedy by the GA shall terminate the grievance process. The matter
may not then be further considered or additional remedies sought under other campus procedures.
G. A decision may not be made at any step that conflicts with or modifies a policy, regulation, or grant of
authority approved by the Board of Regents, the Chancellor, the President, the Provost, or the University Senate
or with any applicable Federal or State of Maryland law.
H. Only currently enrolled University of Maryland graduate students may initiate a formal grievance. The
grievance must pertain to the GA's personal services, not those of another GA. Group grievances are not
permitted, although similar grievances may be consolidated and processed together as a single issue. As a
43
general matter, where a number of individual grievances have been reduced into a single grievance, not more
than three GAs selected by the group may be excused from their duties to attend.
I. Because it is critical to address potentially corrosive grievances sooner than later, and because the remedies
available are prospective, the time requirement established for initiating a formal grievance is necessary to the
effective administration of the graduate program. Unless otherwise agreed in advance among the GA, the
faculty member, and the Graduate Dean, strict adherence to them is a condition of review and appeal under
these Section VII procedures. Time requirements are measured from the first occurrence of an event;
"continuing" wrongs are not recognized for the purpose of satisfying time requirements.
J. The Graduate Dean may delegate such parts of his responsibilities as he deems reasonable and efficient,
provided the final decision and any remedy must be reviewed and approved by the Dean personally.
1 In this Section VII, the term "faculty member" designates the individual directing and supervising the GA. Depending on the
circumstances of the GA's appointment, this person may, in fact, be a University staff employee, and not on the faculty. It is the design of
these procedures that the GA first raise the matter of concern with the individual whose direction or decision has given rise to complaint.
2 In this Section VII, the term "Chair of the Department" shall also mean, as appropriate to the GA's appointment, the Program Director or
the unit head in non-departmental colleges and schools and in administrative departments.
3 For the purpose of this Section VII, "Dean of the appropriate college or school" or "Dean of the unit" means the academic dean of the unit
where the assistantship is located. For assistantships in non-academic units, "Dean" shall mean the Vice President of the division.
4 These include, for example, the Code of Academic Integrity, the Policy on Arbitrary and Capricious Grading, the Code of Student
Conduct, the Procedures for Scholarly Misconduct, the Human Relations Code, the Policy and Procedures on Sexual Harassment,
the Policy on Student Classification for Admission and Tuition Purposes, the University of Maryland Policy on Intellectual Property and
the Policy on Acceptable Use of Information Technology Resources.
5 The resolution of a "workload" grievance, for example, may entail a reduction in work hours, future overload pay when approved and
budgeted, time management training, and referral to the Center for Teaching Excellence.
(December 2008)
44
Chapter 7: Financial Policies - Fellowships and Scholarships
Graduate Fellowships and Scholarships
Graduate fellowships are merit-based awards that enable the recipient to focus on graduate study, that do not
have to be repaid, and that generally include both a stipend and tuition remission. Fellowships differ from
Graduate Assistantships, which carry an obligation to teach classes, to work on a research project, or to perform
administrative tasks.
Fellowship offers generally are made by graduate programs to incoming students as part of a recruitment
package; some are made to current students through competitive awards processes. Applicants to graduate
programs and current students should contact the relevant program for more information on available
fellowships.
The University of Maryland is committed to diversity and encourages programs to offer support to a diverse
range of students consistent with campus principles of equal opportunity.
Recruitment and retention fellowships are funded either internally, through the Graduate School's University
and Dean's Fellowships to colleges, or externally, through a variety of outside funding agencies. In addition, the
Graduate School has instituted three major fellowships competitions:
Flagship Fellowships are intended to help graduate programs to recruit and retain truly exceptional students.
Flagship Fellowships are multi-year enhancement awards to be added to fellowship/assistantship offers made by
graduate programs. Flagship Fellowship enhancements may total $40,000 per student over the duration of the
award. The goal is to award ten Flagship Fellowships per year, reaching a steady state of approximately forty
Flagship Fellows.
Graduate Student Summer Research Fellowships provide support to outstanding doctoral students at "mid-
career," that is, in the period approximately before, during, or after achievement of candidacy, and are intended
to enable students to prepare for or complete a key benchmark in their program's requirements. Summer
Research Fellowships carry stipends of $5,000.
Ann G. Wylie Dissertation Fellowships are one-semester awards intended to support outstanding doctoral
students who are in the final stages of writing their dissertation and whose primary source of support is
unrelated to their dissertation. Wylie Dissertation Fellowships carry a stipend of $10,000 plus candidacy tuition
remission and $800 toward the cost of health insurance. The Graduate School awards approximately 40 Wylie
Dissertation Fellowships per year.
In addition, the Graduate School administers competitions for four endowed awards: The Mabel S. Spencer
Award for Excellence in Graduate Education, The James W. Longest Memorial Award for Social Science
Research, The Michael J. Pelczar Award for Excellence in Graduate Study, and The Phi Delta Gamma Graduate
Fellowship.
Finally, the Graduate School administers the Jacob K. Goldhaber Travel Grants and the International
Conference Student Support Award, which both provide funding for graduate students presenting academic
work at conferences and professional meetings.
Status
Fellowships and scholarships are offered only to graduate students admitted to or enrolled in graduate degree
programs at the University of Maryland. Fellows and scholars are expected to devote themselves full time to
graduate study and to register full time as defined by the unit system. Students on fellowships and assistantships
must be registered for 48 units. Audited courses do not generate units and cannot be used to determine full-time
status. Fellows who also hold half-time assistantships need only register for 36 units to maintain full-time status.
45
Doctoral Candidates are automatically registered for Candidacy Tuition (899) each semester. This will satisfy
the unit requirement for full-time status.
Qualifications
Students whose records indicate superior academic achievement and promise and who will increase diversity in
their graduate program may be nominated for fellowships and scholarships. The determination of academic
merit is based on undergraduate and graduate Grade Point Averages (GPA); scores on such national tests as
the Graduate Record Examination (GRE), Graduate Management Admissions Test (GMAT), and the Miller
Analogies Test (MAT); the judgment of academic professionals in letters of recommendation; the nominee's
Statement of Goals and Research Interests; and the nominee's Statement of Experiences. Individual departments
and graduate programs administer fellowships and scholarships funded by the Graduate School, designated
departmental funds, or external sources such as government agencies and private foundations.
Funding for Fellowships
External Graduate Fellowships are fellowships sponsored and funded by organizations outside the university.
Corporations, charitable foundations, and numerous other groups fund graduate fellowships.
Private and Non-University Sponsored Fellowships. UMCP has several government and privately funded
fellowships that are handled through the graduate programs and colleges. Some of these fellowships are won
independently by students in national competitions; others are awarded directly to the colleges or programs,
which then select student recipients. Students submitting applications for admission to graduate programs will
be considered for such awards as appropriate; no additional application forms are required. Our graduate
students are supported on fellowships from the Department of Defense, Ford Foundation, National Science
Foundation, Woodrow Wilson National Fellowship Foundation, to name just a few. In addition, several
graduate programs sponsor fellowship programs jointly with federal agencies, such as the National Institutes of
Health, NASA, and the National Institute of Standards in Technology.
Matching Tuition Scholarships for External Fellowships. These scholarships are awarded, subject to the
availability of funding, to students who have received external fellowships that provide a stipend, but do not
provide separate funds to cover the cost of tuition. The Graduate School policy on External Fellowship Tuition
Remission is listed here.
If the external fellowship also provides an institutional allowance, this allowance will be used to pay the
fellow's tuition. If the tuition cost is in excess of the institutional allowance amount, the University will pay the
excess tuition amount. If the tuition is less than the amount of the institutional allowance and, if the policy of
the institution that awards the external fellowship permits, any institutional allowance funds remaining after
tuition has been paid will be given to the fellow as a supplement to his/her stipend.
Offer Letters
A formal offer letter specifying the award of a Graduate School fellowship is sent to the student from the Dean
of the Graduate School in the spring semester. This letter specifies the stipend level, the duration of the
commitment, the amount of tuition remitted, and the details of the fellowship or scholarship.
Duties
No service of any kind, either during the tenure of a scholarship or fellowship or in the future, is to be required
of a fellow or scholar by their mentor or their graduate program. Fellows and scholars will carry out
independent research under the supervision and guidance of-and sometimes in collaboration with-their mentors.
Typically, at the start of their tenure as fellows or scholars, inexperienced students will require more supervision
and guidance. Eventually, however, fellows in particular, should be treated as junior research associates. Under
46
no circumstances are they to be assigned routine technical or administrative duties or given teaching
assignments during the years in which they are supported by fellowships or scholarships.
Supplementation of Support
Students are generally not allowed to hold two full fellowships ($15,000 or higher each) concurrently. Please
contact the graduate school if this situation occurs.
Departmental fellowships or other special funds may provide additional support. A fellowship or scholarship
may be supplemented by an appointment to a position such as a half-time assistantship or by hourly
employment not to exceed 10 hours per week. International fellows should consult the Office of International
Education Services by phone at 301-314-7740, regarding supplementary employment.
Gifts, departmental fellowships, or other special funds may provide additional support, in an amount not to
exceed half the stipend of the fellowship or scholarship. A fellowship or scholarship may be supplemented by
an appointment to a position such as a half-time or quarter-time graduate assistantship, or by hourly
employment not to exceed 10 hours per week. International fellows should consult the Office of International
Education Services by phone at 301-314-7740, regarding supplementary employment.
Additional On-Campus and Outside Employment
According to university policy, full time fellows and scholars may work on-campus or off-campus for a
maximum of 10 hours per week in addition to holding the fellowship or scholarship. In other words, fellows
may be hired on a half-assistantship (which requires 10 hours per week) or work 10 hours per week on an
hourly basis. This restriction on employment is intended to assure that students make rapid progress toward
their degrees.
Additional On-Campus and Outside Employment
According to university policy, full time fellows and scholars may work on-campus or off-campus for a
maximum of 10 hours per week in addition to holding the fellowship or scholarship. In other words, fellows
may be hired on a half-assistantship (which requires 10 hours per week) or work 10 hours per week on an
hourly basis. This restriction on employment is intended to assure that students make rapid progress toward
their degrees. International fellows should consult the Office of International Education Services by phone at
301-314-7740, regarding supplementary employment.
Deferral or Duplication of Support
Students are not allowed to hold two full fellowships or scholarships, either internal or external awards, or a
combination of both, simultaneously. Fellows or scholars who receive offers of external fellowships, such
as National Science Foundation , Ford Foundation Fellowships , or any other private or university-administered
fellowships may defer their Graduate School fellowship or scholarship offer until such time as their other
fellowship expires. Assuming satisfactory academic progress at that time, the student may again resume the
Graduate School fellowship or scholarship.
Overload Payments for Graduate Fellows
If a circumstance arises that a fellow must work over the 10 hours per week, an overload form is
necessary. This includes the winter term. Overload requests should be for temporary, short-term arrangements
47
only. The request must be limited to one semester per request and must be received and approved by the
Graduate School prior to the beginning of the appointment.
Stipends
Fellowships are awarded for the academic year only. Stipend disbursements for US citizens and Permanent
Residents may be given in lump sums at the start of each semester or spread out monthly. This disbursement is
processed through the student award system. For international students, those on a Jl or Fl visas, the
disbursement must be processed through payroll. Fellows must receive stipends within the ranges below in
order to qualify for associated benefits. Step I is for students in their first year of support who have no advanced
degrees; Step II, for students in a second year of support at UMCP or for students in their first year of support
who possess a master's degree; and Step III, for students who have been advanced to candidacy for the doctoral
degree.
Tuition Remission and Mandatory Fees
The Graduate School provides tuition remission and health insurance subsidies to graduate fellowship recipients
who are paid from University and Dean's Fellowship funds, or from external fellowship funds meeting the
criteria specified below. Tuition remission and health insurance subsidies are subject to continued availability of
resources. Tuition remission will be provided only for credits that are degree applicable.
I. GRADUATE FELLOWS HOLDING UNIVERSITY OR DEAN'S FELLOWSHIPS
the Student Award System found onwww.ares.umd.edu)
(entered through
A. A University Fellow may be eligible for up to 12 credits of fellowship tuition remission per
semester (Spring and Fall only). A University Fellowship (UF) must supplement a standard support package
(assistantship, external fellowship, Dean's Fellowship, and/or other internal fellowship). Tuition remission
credits deriving from that support package will be applied first and augmented by fellowship tuition remission
up to the maximum remission indicated below:
TYPE
UF
FUNDING
PER
YEAR
TOTAL
ANNUAL CREDITS
FALL
SPRING
University
Fellowship (paid in lyr)
$20,000
Maximum
fellowship credits:
24
12
12
University Fellowship (paid
over 2 yrs)
$10,000
Maximum
fellowship credits:
10
5
5
University Fellowship (paid
over 4 yrs)
$5,000
Maximum
fellowship credits:
B. A Dean's Fellow maybe eligible for up to 12 credits of fellowship tuition remission per semester (Spring and
Fall only). A Dean's Fellowship (DF) may be combined with a University Fellowship, additional Dean's
Fellowships, and/or other funding (assistantship, external fellowship, and/or other internal fellowship) to create
the support package. Tuition remission credits deriving from other funding will be applied first. Tuition
remission credits for Dean's Fellowships will be provided up to the maximum remission indicated below:
48
TYPE
DF
FUNDING
PER YEAR
TOTAL
ANNUAL
CREDITS
FALL
SPRING
Three Dean's Fellowships
>$15,000
Maximum fellowship
credits:
24
12
12
Two Dean's Fellowships
>$10,000
Maximum fellowship
credits:
10
5
5
One Dean's Fellowship
$5,000
Maximum fellowship
credits:
II. GRADUATE FELLOWS HOLDING PRESTIGIOUS EXTERNAL FELLOWSHIPS
(use the Request for Tuition Remission for External Fellowships and Scholarships form
at http://www.gradschool.umd.edu, select Current Students-General Forms for Graduate Students)
Graduate students holding prestigious external fellowships may be eligible for fellowship tuition remission. A
Memorandum of Understanding (MOU) or contractual agreement must be filed with the Graduate School.
Unless otherwise specified in the MOU or contract, fellowship tuition remission credits up to 10 credits will be
awarded as follows:
• A prestigious external fellowship carrying an annual stipend of at least $15,000 may be awarded up
to 10 credits of tuition remission per semester.
• A prestigious external fellowship carrying an annual stipend of at least $7,500 may be awarded up to 5
credits of tuition remission per semester.
• A prestigious external fellowship carrying an annual stipend of less than $7,500 is not eligible for
tuition remission.
III. GRADUATE FELLOWS ON FEDERAL TRAINING GRANTS
(use the Training Grant Fellowship Matching Tuition Remission Request form
at http://www.gradschool.umd.edu, select Current Students-General Forms for Graduate Students)
Federal Training Grants covering only partial tuition for fellows may be eligible for an institutional match of
fellowship tuition remission. Upon written agreement with the Graduate School, tuition remission may be
awarded to Training Grants on a 60% (grant) / 40% (GS) matching basis.
IV. GRADUATE FELLOWS HOLDING INTERNAL FELLOWSHIPS OTHER THAN UNIVERSITY
OR DEAN'S FELLOWSHIPS
Fellowship tuition remission is not awarded to fellowships funded from department or college sources; state
monies from any source, including DRIF, UM, and UMCP Foundations, unless formal agreements have been
made with the Graduate School. Tuition for these fellowships should be charged to the account to which the
stipend is being charged.
V. TUITION REMISSION FOR SUMMER SESSIONS AND WINTERTERM
Fellowship tuition remission is not awarded for Summer Sessions or Winter term.
VI. TUITION REMISSION FOR PROGRAMS WITH NON-STANDARD TUITION
49
Fellows enrolled in graduate programs with non-standard tuition rates (whether by course or by flat-fee pricing)
will be responsible for tuition costs above the standard rate covered by fellowship tuition remission.
VII. OTHER
The Graduate School's Wylie Dissertation Fellowships, Spencer Award, and Longest Award are eligible for
fellowship tuition remission. Flagship Fellowships and Graduate Student Summer Research Fellowships do not
earn fellowship tuition
remission. See http://www.gradschool.umd.edu/prospective_students/gs_fellowships.html.
Residency Classification
The official residency classification of students holding fellowships, assistantships, and scholarships does not
change as result of their awards, but remain resident or non-resident as indicated in the original admissions
offer. Fellows and scholars who also hold a half-time graduate assistantship will be billed in-state tuition as a
benefit of their status only while they hold that assistantship. When/if the graduate student is no longer
supported by the assistantship-including summer months if the student is on a 9.5-month assistantship-he or she
will be billed according to their official residency status determined at the time of their admission.
Students are expected to be aware of their official residency classification status, how their assistantship,
scholarship, or fellowship may affect their billing for each semester, and to address any problems immediately
to avoid incurring unexpected tuition charges.
Questions about residency classification and changing status for those who intend to become residents of the
State of Maryland for tuition and billing purposes under the University System of Maryland Board of Regents
policy should be addressed to:
Residency Classification Office
Room 1 130 Mitchell Building
Phone 301-314-9596
Web: http://www.testudo.umd.edu/rco
Email: resclass@umd.edu
Tax Status
Fellows and scholars must pay tax on the stipends they receive to cover living and general expenses, but may
deduct certain educational expenses. Amounts awarded in payment of tuition are not taxable for fellows. Taxes
are not withheld from stipends disbursed through student financial aid so you may choose to file an estimated
tax payment. Please refer to the Internal Revenue Service Tax Publication 970, Benefits for Education , for more
information regarding the tax status of fellowship and scholarship stipends or call 1-800-829-1040.
Health Insurance
Graduate fellows supported by University Fellowships, Dean's Fellowships, or prestigious external
fellowships are eligible to receive a reimbursement of one -half of the annual United Health Care (UHC)
insurance premium for individual coverage.
The UHC plan must be purchased prior to submitting a request for reimbursement to the Graduate School. The
Health Insurance Reimbursement Request Form can be found
athttp://www. gradschool.umd.edu/images/uploads/Health%20Insurance%20Form%20Fillable.pdf.
50
University or Dean's Fellows must provide a Health Insurance Reimbursement Request Form, proof of
payment, and copy of insurance card. Holders of prestigious external fellowships must present, in addition, a
copy of the fellowship MOU or contract.
Wylie Dissertation Fellows are entitled to a sum of $800.00 in addition to their stipend for the cost of the
health insurance premium for one semester of coverage. The sum is automatic and need not be requested.
The following graduate fellows are not eligible for this subsidy: fellows holding internal fellowships other than
University or Dean's Fellowships; fellows holding half or full-time assistantships entitling them to employee
health insurance benefits; and fellows who are part-time students.
For information on the United Health Care plan, please visit the University Health Center website
athttp://www. health. umd.edu/about/insuranceandfees. United Health Care offers online enrollment
at http://www.firststudent.com/.
Vacation and Sick Leave
There is no policy on vacation and sick leave for fellows or scholars. Fellows and scholars are required to
maintain satisfactory academic performance in order to retain their support. A fellow or scholar may request
deferment of a semester or year of fellowship tenure if documented personal illness prevents him or her from
satisfactorily completing academic requirements.
Facilities
Fellows are fully integrated into departmental activities and are to be provided with the same facilities as other
graduate students, such as mailboxes, office space, access to a telephone and computer, and email and internet
access.
51
Chapter 8: Academic Policies - General Policies and The Academic Record
Developing a Program
The student is responsible for ascertaining and complying with the policies and procedures of the Graduate
School and all applicable graduate program requirements that govern the individual program of study.
Registration for the newly admitted graduate student seeking a certificate or degree begins with a visit to the
student's academic advisor in the graduate program to which the student has been admitted. There the student
will obtain information about specific certificate or degree requirements for satisfactory progress that
supplement those of the Graduate School . The student should consult the Schedule of Classes , and should
develop an individual program of study and research in consultation with his or her graduate advisor. Students
admitted as Advanced Special Students may seek advice from the Graduate School , Graduate Directors, or
from appropriate faculty members. Petitions for waivers of regulations of graduate degree requirements or for
appeals of decisions of graduate program faculty or administrators should be directed to the Dean of the
Graduate School, 2125 Lee Building.
Academic Integrity
The University is an intellectual community. Its fundamental purpose is the creation and dissemination of
knowledge. Like all other communities, the University can function properly only if its members adhere to
clearly established goals and values. Essential to the fundamental purpose of the University is the commitment
to the principles of truth and academic honesty. The Code of Academic Integrity is designed to ensure that the
principle of academic honesty is upheld. While all members of the University community share this
responsibility, The Code of Academic Integrity is designed so that special responsibility for upholding the
principle of academic honesty lies with students.
Honor Pledge
On every examination, paper or other academic exercise not specifically exempted by the instructor, the student
will write by hand and sign the following pledge:
I pledge on my honor that I have not given or received any unauthorized assistance on this examination.
Failure to sign the pledge is not an honors offense, but neither is it a defense in case of violation of this Code.
Students who do not sign the pledge will be given the opportunity to do so. Refusal to sign must be explained to
the instructor. Signing or non-signing of the pledge will not be considered in grading or judicial procedures.
Material submitted electronically should contain the pledge; submission implies signing the pledge.
On examinations, no assistance is authorized unless given by or expressly allowed by the instructor. On other
assignments, the pledge means that the assignment has been done without academic dishonesty, as defined in
the Code of Academic Integrity, available at http://www. studenthonorcouncil.umd.edu/code. html .
The pledge is a reminder that at the University of Maryland students carry primary responsibility for academic
integrity because the meaningfulness of their degrees depends on it. Faculty are urged to emphasize the
importance of academic honesty and of the pledge as its symbol.
Penalties for Violations of Academic Integrity
Students who are found to have falsified, fabricated, or plagiarized in any context, such as course work,
laboratory research, archival research, or thesis / dissertation writing— will be referred to the Office of Student
Conduct. The Office of Student Conduct has some discretion in determining penalties for violations of the
University's standards of academic integrity, but the normal sanction for a graduate student found responsible
for a violation of academic integrity will be dismissal (suspension or expulsion) from the University.
52
To review the whole policy on academic integrity, see the University of Maryland Code of Academic Integrity
at http://www. studenthonorcouncil.umd.edu or http://www.osc.umd.edu . The Code was amended on May 5,
2005.
Academic Record (Transcript)
A graduate student's academic record (transcript) is intended to serve as a complete history of the student's
academic progress at the University of Maryland. Under no circumstances will academic records be altered
because of dissatisfaction with a grade or other academic accomplishment.
Grade Point Average Computation
The A is calculated at 4 quality points, B at 3 quality points and C at 2 quality points. The grades of D, F and I
receive no quality points. Students do not earn credit toward the degree for courses in which they receive a
grade of D or F. For graduate students, all courses taken that are numbered 400 and above (except 500-level
courses, those numbered 799, 898, or 899, and those graded with an S) will be used in the calculation of the
grade point average. A student may repeat a course in an effort to earn a better grade. Whether higher or lower,
the most recent grade will be used in computing the grade point average. Grades for graduate students remain as
part of the student's permanent record. Changes in previously recorded grades may be made if timely (within
one semester) and if the original instructor certifies that an actual mistake was made in determining or recording
the grade. The change must be approved by the department chair and the Dean of the Graduate School.
Graduate credit transferred from another institution will not be included in the calculation of the grade point
average.
Criteria for Courses to be Accepted for Graduate Credit
Any courses, workshops or seminars that take place in a span of time less than a normal academic semester or
summer session and offering graduate credit to the participants must meet the following criteria:
■ There must be 15 "contact hours" per graduate credit.
• Lectures: 50 minutes of lecture are equivalent to 1 contact hour.
• Non-lecture contact (laboratories, workshops, discussion and problem-working sessions, etc.):
One two-hour or three-hour session is equivalent to one contact hour.
■ No more than three "contact hours" per day will be permitted. (Three "contact hours" are equivalent to
0.2 credits).
■ Credit may be accumulated at the rate of no more than one credit per week.
■ Courses numbered at the 100-, 200-, 300, and 500-level are ineligible for graduate credit. 400 level
classes are eligible for graduate credit provided they were not used in fulfillment of an undergraduate
degree requirement.
Credit by Examination
Credit by examination will be awarded upon successful completion of a formal examination (typically written)
at a normal standard for examinations within the department/program. The examination must be approved by a
committee composed of the examiner plus two Full Members of the Graduate Faculty. A copy of the
examination, the student's answers, and the names of the examiner and the approving faculty member must be
placed in the student's file in the department/program.
53
Normally, credit by examination is not available for 600 level and higher courses. The maximum number of
credits by examination that can be applied to a master's degree is 12 for a non-thesis master's degree and six for
the thesis option. The graduate program in which the student is enrolled may establish a limit on the number of
credits that may be earned in this manner. Information on fees for Credit by Examination is available from the
Registrar.
Incomplete Grades
An incomplete is a mark that an instructor may award to a student whose work in a course has been
qualitatively satisfactory, but who is unable to complete some portion of the work required because of illness or
other circumstance beyond the student's control. In awarding the mark of "I" for graduate courses other than
799 and 899, instructors must fill out an "Incomplete Contract for Graduate Students." The contract will specify
the work remaining to be completed. It must be signed by the instructor and the student and maintained by the
department offering the course. The student is responsible for providing a copy of the contract to the director of
graduate studies in his or her program.
The mark of incomplete in 500-, 600-, 700-, and 800-level courses will not automatically roll-over to letter
grades. Normally, students are expected to complete courses in which they have received an "I" by a date no
more than twelve months from the beginning of the semester in which the course was taken. The mark of
incomplete in 400-level courses will be governed by the rules for awarding incompletes to undergraduate
students, including the provision of automatically converting an "I" to a letter grade.
Advisors should stay current with their students in urging completion of incomplete grades, and programs
should review the status of incompletes in their annual reviews of students' progress toward their degrees.
Students will remain in good standing despite marks of incomplete if the courses are not required for their
degrees. For courses required for graduation, students will be considered to be making satisfactory progress
only if they fulfill the conditions of any outstanding incomplete contracts in a timely manner. An "I" can remain
in place on a student's transcript for a maximum of one year.
Departments and programs may specify the maximum number of incomplete credits students may carry,
exclusive of credits in 799 and 899.
Transfer of Credit
All graduate study credits offered as transfer credit must meet the following criteria:
■ No more than six credit hours of graduate work may be transferred from another institution, unless the
program has special approval by the Graduate Council. When changing programs within the University
of Maryland, the student may request inclusion of credits earned at the University of Maryland. When
moving from non-degree to degree-seeking status, Advanced Special Students may transfer up to
twelve (12) graduate credits to the degree program, subject to the approval of the Graduate Program.
■ The advisor and Graduate Director will need to certify that transfer courses are applicable to the
student's program and, for non-University of Maryland courses, that the courses have been revalidated.
■ Credit must have been granted by a regionally accredited U.S. institution or foreign university. If the
latter, evaluation by the staff of the International Education Services and the Graduate School is
required.
The courses must be graduate level and have been taken for graduate credit at the original institution.
The student must have earned a grade of "B" or better in the course.
The credit must not have been used to satisfy the requirements for any other degree.
The student must furnish an official transcript to the Graduate School.
Transfer work satisfies only the 400-level requirements for the master's degree and does not apply to
the upper-level requirements.
54
■ The transfer course work must have been taken within seven years of the award of a University of
Maryland master's degree for which the student is currently enrolled (all other course work must be
taken within five years of the award of master's degree.)
A student seeking acceptance of transfer credit is advised to submit the necessary transcripts and certification of
program approval to the Graduate School as promptly as possible for its review and decision. It should be noted
that programs may impose more stringent requirements and time limitations concerning the transfer of credits.
In such cases the Graduate School must be notified accordingly. A form for Transfer or Inclusion of Credit is
available online on the Graduate School's
webpage: http://www. gradschool.umd.edu/images/uploads/Transfer of Inclusion Form.pdf
Satisfactory Progress
The admission of all graduate students is continued at the discretion of the Graduate Director of the program
and the Dean of the Graduate School, consistent with the policies and practices of the Graduate School and
graduate program. A student must make satisfactory progress in meeting programmatic requirements, must
demonstrate the ability to succeed in his or her course of studies or research, and must attain performance
minima specified by the graduate program in all or in particular courses; otherwise his or her enrollment will be
terminated. Determinations of satisfactory progress occur at the graduate program level. Please contact the
Graduate Director for conditions for satisfactory progress.
Good Standing
In order to maintain good academic standing, every graduate student must maintain a cumulative grade point
average (GPA) of 3.0 for all courses taken at the University.
Academic Probation and Dismissal
A student whose cumulative grade point average falls below 3.0 will be placed on academic probation by the
Graduate School. When a student is placed on probation, the Graduate School will notify both the student and
the Graduate Director of the student's program. Permission of the academic advisor and the Graduate Director
will be required for a student on probation to register for courses. Probation will be lifted when the student
achieves a cumulative GPA of 3.0.
A student on probation who has completed fewer than 15 credits must raise the GPA to 3.0 or above by the end
of the semester in which the student completes 15 credit hours or be dismissed from the Graduate School. A
student who has completed 16 or more hours of course work and whose cumulative GPA falls below 3.0 will be
placed on probation and will have one semester in which to raise his or her GPA to a 3.0 or be dismissed from
the Graduate School.
Time Limitations for Master's Degrees and Certificates
With the exception of the six semester hours of graduate level course credits applicable for possible transfer to
the master's degree and certificate programs, all requirements for the master's degree or graduate certificate
must be completed within a five-year period. Time taken for an approved Leave of Absence for Childbearing,
Adoption, Illness or Dependent Care does not count toward this five-year limit.
Time Limitations for Doctoral Degrees
Students must complete the entire program for the doctoral degree, including the dissertation and final
examination, during a four-year period after admission to candidacy, but no later than nine years after admission
to the doctoral program. Students must be advanced to candidacy within five years of admission to the doctoral
program. Under certain circumstances, time extensions may be granted by the Graduate School as outlined
55
below. Admission to the degree program terminates if the requirements are not completed in the time specified.
Time taken for an approved Leave of Absence for Childbearing, Adoption, Illness or Dependent Care is not
counted in these time limitations.
Time Extensions
Master's Degree and Certificate Students
A student who has failed to complete all requirements by the prescribed deadlines may petition his or her
graduate program for a one-year extension of time in which to complete the outstanding requirements. This
extension may be granted by the graduate program, which must then notify the Graduate School in writing of its
decision. The Graduate School will confirm this decision in writing to the student.
A student who has failed to complete all requirements for the degree following the granting of an initial time
extension by his or her graduate program, and who wishes to pursue the degree, must seek an additional
extension by petitioning the graduate program. If the graduate program supports the request, the request must be
forwarded to the Graduate School for review with a letter of support from the Graduate Director that includes a
statement that the graduate program has approved the request. Departmental approval may be either a vote of
the department as a whole or of a committee designated to deal with such matters, such as the Graduate
Committee. The letter must include a time table listing specific goals to be accomplished at various points
during the extension period. The letter should also include a request for revalidation of courses that will be more
than five years old at the time of graduation. Typically, this extension will be for a maximum of one year. The
Graduate School's decision will be communicated in writing to the petitioner and a copy will be sent to the
student's graduate program.
Doctoral Students
Extensions of time for doctoral students must be requested from the Graduate School by the doctoral program.
The first request for an extension of the deadline for admission to candidacy or completion of the doctoral
dissertation requires a letter of support from the Graduate Director. The letter must include a timetable listing
specific goals to be accomplished at various points during the extension period. Normally, the extension will be
for a maximum of one year.
The request for a second extension requires a letter of support from the Graduate Director that includes a
statement that the graduate program has approved the request. Departmental approval may be either a vote of
the department as a whole or of a committee designated to deal with such matters, such as the Graduate
Committee. The letter must include a timetable that lists specific goals to be accomplished at various points
during the extension period. Typically this extension will be for a maximum of one year.
Requests for a third extension will be honored only in rare instances when serious and unforeseen
circumstances that are not covered under the Leave of Absence for Childbearing, Adoption, Illness or
Dependent Care policy have interfered with the student's normal progress toward the degree. The request for a
third extension requires a letter of support from the Graduate Director that includes a statement that the program
has approved the request. The letter must include a timetable listing specific goals to be accomplished at various
times during the extension period. Typically, this extension will be for a maximum of one year. The third
extension is the final extension. Additional extensions will not be approved by the Graduate School.
In the event that a graduate program wishes to continue a student in the program beyond a third extension, the
following procedures must be followed:
■ The student must apply to be readmitted to the graduate program. The application must be
accompanied by a letter of support from the Graduate Director, which indicates the approval of the
program for the readmission.
56
The Graduate Director's letter must include a timetable listing specific goals to be accomplished at
various points during the re-admission period.
Doctoral students must be advanced to candidacy within one year of re-admission. No extensions will
be given for this deadline.
Doctoral students who have previously advanced to candidacy and who apply for readmission and re-
advancement to candidacy must demonstrate that their knowledge is current and consistent with those
standards that are in effect in the graduate program at the time that the re-advancement to candidacy is
made. The program will determine what constitutes an acceptable level of current knowledge on a
case-by-case basis and must include this determination in its recommendation for readmission. This
could mean that the student will be required to retake the comprehensive examination or otherwise
demonstrate that the student's knowledge is consistent with current standards of the graduate program.
Re-admitted students who have been advanced to candidacy will be allowed four years to complete the
dissertation. No extensions will be given after this deadline.
57
Chapter 9: Academic Policies - Doctoral Degrees
Graduate School Requirements Applicable to all Doctoral Degrees
Credit Requirements
The Graduate School requires that every student seeking the Ph.D. or D.M.A. satisfactorily complete a
minimum of 12 semester hours of dissertation credits (899); a student seeking an Ed.D. must satisfactorily
complete a minimum of six semester hours of dissertation credits (899). The number of research and other
credit hours required in the program varies with the degree and program in question.
Advancement to Candidacy
Preliminary examinations, or such other substantial tests as the graduate programs may elect, are prerequisites
for advancement to candidacy. A student must be admitted to candidacy for the doctorate within five years after
admission to the doctoral program and at least six months before the date on which the degree will be conferred.
It is the responsibility of the student to submit an application for admission to candidacy when all the
requirements for candidacy have been fulfilled. Applications for admission to candidacy are made in duplicate
by the student and submitted to the graduate program for further action and transmission to the Graduate
School. Application forms may be obtained at the Graduate School, Room 2123, Lee Building, or on the web.
Paperwork must be received by the Graduate School prior to the 25th of the month in order for the advancement
to become effective the first day of the following month.
Doctoral candidates are automatically registered for six (6) credits of Doctoral Dissertation Research (899), for
which they pay the flat candidacy tuition.
Research Assurances
Human Subject Research
Everyone at the University of Maryland who is conducting research that involves human subjects must obtain
approval in advance from the Institutional Review Board (IRB). The IRB is charged with approving the
initiation of research involving human subjects and conducts periodic reviews of that research to ensure that all
projects comply with Federal regulations. These regulations are strict, and the Graduate School urges all
graduate students to consult with the IRB before beginning any research involving living subjects. For
application forms and guidelines on such issues as research involving minors or prisoners, surveys, and the use
of audio taping, videotaping, digital recordings, and photographs, please see the Institutional Review Board's
website ( http://www.umresearch.umd.edu/IRB/ ).
Other Research
If the dissertation research involves the use of vertebrate animals, animal use protocols must be approved in
advance by the Animal Care and Use Committee. If the dissertation research involves hazardous materials,
either biological or chemical, or recombinant RNA/DNA, the research must be approved by the appropriate
University committee. These research assurances must be approved prior to the initiation of any dissertation-
related research, and the approvals must be provided to the Graduate School at the time the student submits the
Nomination of Examining Committee form.
58
The Doctoral Dissertation and Examination
A dissertation is required of all candidates for a doctoral degree. The Graduate School has established the
following procedures for the conduct of the doctoral dissertation examination.
■ The Dissertation. The ability to do independent research must be demonstrated by an original
dissertation on a topic approved by the graduate program in which the student is earning the degree.
■ Eligibility. A student is eligible to defend a dissertation if the student (a) has advanced to candidacy,
(b) has met all program requirements for a dissertation examination, (c) is in good standing as a
graduate student at the University, (d) is registered for at least one credit, (e) has a valid Graduate
School-approved Dissertation Examining Committee, and (f) if this is the second examination, the
examination has been approved by the Graduate School.
■ Dissertation Examining Committee Membership. The Committee must include a minimum of five
members of the Graduate Faculty, at least three of whom must be Full Members. The Chair of the
Committee normally will be the student's advisor, who will be a Full Member of the Graduate Faculty,
or who has been granted an exception to the policy by the Dean of the Graduate School. Each
Committee will have appointed to it a representative of the Dean of the Graduate School.
■ Nomination of the Dissertation Examining Committee. Membership on a Dissertation Examining
Committee requires nomination by the student's advisor and the Graduate Director of the student's
graduate program, and approval by the Dean of the Graduate School. The nomination of a Dissertation
Examining Committee should be provided to the Graduate School at least six weeks before the date of
the expected dissertation examination. The dissertation examination cannot be held until the Graduate
School approves the composition of the Dissertation Examining Committee. Furthermore, if the
Graduate Faculty status of any member of an approved Dissertation Examining Committee changes,
the approval of the Dissertation Examining Committee may be void, and a new Dissertation
Examining Committee nomination form may be required to be approved by the Graduate School.
■ Chair. Each Dissertation Examining Committee will have a chair, who must be a Full Member of the
Graduate Faculty or, by special permission, has been otherwise appointed by the Dean of the Graduate
School . Dissertation Examining Committees may be co-chaired upon written recommendation of the
program's Graduate Director and with the approval of the Dean of the Graduate School; at least one of
the co-chairs must be a Full Member of the University of Maryland Graduate Faculty.
■ Representative of the Dean of the Graduate School. Each Dissertation Examining Committee will
have appointed to it a representative of the Dean of the Graduate School. The Dean's Representative
should have some background or interest related to the student's research. The Dean's Representative
must be a tenured member of the Graduate Faculty at the University of Maryland and must be from a
graduate program other than the home program of the chair and co-chair (if one exists) of the
examination committee. In cases where a student is in an interdisciplinary graduate program, the
Dean's Representative must be from a unit other than the home unit(s) of the chair of the committee
and student's advisor.
■ Special Members. Individuals from outside the University of Maryland who have been approved for
Special Membership in the Graduate Faculty may serve on Dissertation Examining Committees. These
Special Members must be in addition to the required three Full Members of the University of Maryland
Graduate Faculty. For procedures to nominate an individual for Special Membership, please refer to
the section below on Graduate Faculty.
■ Service of former University of Maryland faculty members. Graduate Faculty who terminate
employment at University of Maryland (and who do not have emeritus status) retain their status as
members of the Graduate Faculty for a twelve- month period following their termination. Thus, they
may serve as members and chairs (but not as Dean's Representatives) of Dissertation Examining
59
Committees during this twelve-month period if they are otherwise eligible. After that time, they may
no longer serve as chairs of Dissertation Examining Committees, although, if granted the status of
Special Members of the Graduate Faculty, they may serve as co-chairs.
■ Professors Emeriti and Associate Professors Emeriti may serve on Dissertation Examining
Committees provided they are members of the Graduate Faculty.
Open Dissertation Examination
The dissertation examination will consist of two parts:
■ Part 1 will be a public presentation by the candidate on the main aspects of the research reported in
the dissertation. During Part 1, questions from the audience to the candidate will be permitted. For
questions from persons who are not members of the Dissertation Examining Committee, the Chair of
the Dissertation Examining Committee will have discretion to decide whether such questions are
germane to the topic of the dissertation and how much time will be allotted for the answers.
■ Part 2 will be a formal examination of the candidate by the Dissertation Examination Committee.
This part will be open only to the Dissertation Examination Committee, other members of the Graduate
Faculty, and graduate students from the candidate's graduate program. During Part 2, only members of
the Dissertation Examination Committee will be permitted to ask questions. Programs may vote to
establish a policy to have Part 2 be open only to members of the Dissertation Examining Committee
and members of the Graduate Faculty.
■ Attendance at the final discussion and vote will be limited to the members of the Dissertation
Examining Committee.
■ Announcements of the date, time, and location of the examination, as well as the candidate's name
and the dissertation title, will be disseminated five working days in advance to all members of the
Graduate Faculty and graduate students within the graduate program in which the candidate's degree is
to be awarded. Mass-distribution methods, such as e-mail, a faculty/student newsletter, or individual
announcements are acceptable. Merely posting a paper notice on a corridor bulletin board will not
constitute a sufficient announcement.
■ Departments and graduate programs may petition the Dean of the Graduate School for exceptions to
these policies.
Procedures for the Oral Dissertation Examination
■ Oral Examination Requirement. Each doctoral candidate is required to defend orally his or her
doctoral dissertation as a requirement in partial fulfillment of the doctoral degree.
■ Committee Preparation. The members of the Dissertation Examining Committee must receive the
dissertation at least ten working days before the scheduled examination. Should the Dissertation
Examining Committee deem it reasonable and appropriate, it may require submission of the
dissertation more than ten working days in advance of the examination.
■ Attendance at the Examination. Oral examinations must be attended by all members of the student's
officially established Dissertation Examining Committee as approved by the Dean of the Graduate
School. All examinations must be open to all members of the University of Maryland Graduate
Faculty. Programs may wish routinely to open dissertation examinations to a broader audience. In such
cases, program policies must be established, recorded, and made available to all doctoral students.
Should a last-minute change in the constitution of the Dissertation Examining Committee be required,
the change must be approved by the Dean of the Graduate School in consultation with the Graduate
Director of the student's graduate program and the chair of the student's Dissertation Examining
Committee.
■ Location of the Examination. Oral examinations must be held in University facilities that are readily
accessible to all members of the Dissertation Examining Committee and others attending the
examination. The chair of the dissertation examining committee selects the time and place for the
examination.
60
The Dean's Representative. The Dean's Representative must be identified at the beginning of the
examination. The responsibilities of the Dean's Representative include the following: ensuring that the
procedures of the oral examination comply with those of the Graduate School (as described herein) and
reporting to the Dean of the Graduate School any unusual problems experienced in the conduct of the
examination.
Invalidation of the Examination. The Dean of the Graduate School may void any examination not
carried out in accordance with the procedures and policies of the Graduate School. In addition, upon
recommendation of the Dean's Representative, the Dean may rule an oral examination to be null and
void.
Emergency Substitution Procedure. The Graduate School is aware that last-minute emergencies can
prevent a committee member from attending a scheduled dissertation examination and will work with
the chair of the examining committee and/or Graduate Director to make last-minute substitutions in
committee membership to allow the examination to take place as scheduled.
• The request must be sent in writing to the Dean of the Graduate School. Fax or e-mail
requests are acceptable. A telephone call to the Graduate School explaining that an emergency
request is coming will facilitate the process.
• The proposed substitute must be a member of the Graduate Faculty consistent with the rules
for committee membership. Thus, if the Dean's Representative (who must be a tenured faculty
member) could not attend, the substitution of an untenured member of the Graduate Faculty
would not be acceptable.
• Once the written request has been received, the substitution will be made, usually within the
hour, provided that the revised committee meets the requirements for committee membership.
• When the substitution has been made, a written confirmation, in the same format as the
request was received (fax or e-mail) will be sent out, along with a telephone confirmation.
The substitution is not official, however, until the written confirmation has been received in
the graduate program.
• An examination that is held with one or more substitute members on the committee, but
without prior written confirmation from the Graduate School that the substitution(s) have
been approved, will be voided and the examination will have to be repeated.
• A copy of the written request and the written confirmation must be placed in the student's file
for future reference.
Remote Participation in a Dissertation Defense
All members of a Dissertation Examining Committee must be physically present in the examination
room during the entire dissertation defense and during the committee's private deliberations following
the examination. Participation by telephone is not permitted under any circumstances. Remote
participation by video teleconferencing is permitted under the following circumstances
• Permission to conduct a remote-participation defense must be obtained by the dissertation
chair from the Graduate School in advance. In making this request, the chair must indicate in
writing that he/she has read the rules for a remote defense listed below.
• A competent video technician must be present at both the University site and the remote
location for the entire duration of the defense in the event that technical difficulties arise.
• Only one remote site may be used during the defense.
• The candidate, the committee chair, and the Dean's Representative must all be present in the
examination room. None of them may be at the remote site.
• The program must pay for all of the costs of the video teleconferencing arrangements.
Student Presentation. The student is permitted to present briefly a summary of the dissertation,
emphasizing the important results and giving an explanation of the reasoning that led to the
conclusions reached.
61
■ Opportunity for Questioning by Members of the Dissertation Examining Committee. The chair
invites questions in turn from each member of the Dissertation Examining Committee. The questioning
may continue as long as the Dissertation Examining Committee feels that it is necessary and
reasonable for the proper examination of the student.
■ Conclusion of the Examination. After questioning has been completed, the student and any others
who are not members of the Dissertation Examining Committee are asked to leave the room while the
Dissertation Examining Committee discusses whether or not the dissertation and its defense are
satisfactory. The Committee has the following options:
• To accept the dissertation without any recommended changes and sign the Report of
Examining Committee.
• To accept the dissertation with recommendations for changes and, except for the chair, sign
the Report of the Examining Committee. The chair will check that the changes to the
dissertation have been made, and, upon his or her approval, sign the Report of Examining
Committee.
• To recommend revisions to the dissertation and not sign the Report of Examining Committee
until the student has made the changes and submitted the revised dissertation for the
Dissertation Examining Committee's approval. The Dissertation Examining Committee
members sign the Report of Examining Committee if they approve the revised dissertation.
• To recommend revisions and convene a second meeting of the Dissertation Examining
Committee to review the dissertation and complete the student's examination.
• To rule the dissertation (including its examination) unsatisfactory. In that circumstance, the
student fails. Following the examination, the chair, in the presence of the Dean's
Representative, must inform the student of the outcome of the examination. The chair and the
Dean's Representative both sign a Report of the Examining Committee indicating which of
the above alternatives has been adopted. A copy of this statement is to be included in the
student's file at the graduate program office, and a copy is given to the student.
• Passage or failure. The student passes if one member refuses to sign the Report, but the other members of the
Dissertation Examining Committee agree to sign, before or after the approval of recommended changes. Two or
more negative votes constitute a failure of the candidate to meet the dissertation requirement. In cases of failure,
the Dissertation Examining Committee must specify in detail and in writing the nature of the deficiencies in the
dissertation and/or the oral performance that led to failure. This statement is to be submitted to the program's
Graduate Director, the Dean of the Graduate School, and the student. A second examination may be permitted if
the student will be in good standing at the time of the proposed second examination. A second examination
requires the approval of the program's Graduate Director and the Dean of the Graduate School. If the student
fails this second examination, or if a second examination is not permitted, the student's admission to the
graduate program is terminated.
Submission and Publication of the Dissertation
Dissertations are to be submitted to the Graduate School in electronic format after final approval of the
dissertation by the Dissertation Examining Committee. See the University of Maryland Electronic Thesis and
Dissertation (ETD) website at http://dissertations.umi.com/umdor the University of Maryland Thesis and
Dissertation Style Guide (http://www.gradschool.umd.edu/current_students/etd_style_guide.html) for the details
of this process.
Dissertations submitted to the University through the ETD process will also be deposited in the UM Library's
online electronic archive, DRUM (Digital Repository at the University of Maryland, available
at http://drum.lib.umd.edu ). This is a free public archive of academic work by University faculty and graduate
students. The submission of the thesis to the University in fulfillment of degree requirements grants the
University the one-time, non-exclusive right to publish the document on DRUM. The students' and University's
rights regarding dissertation and thesis submission and publication are outlined below.
62
The University 's Rights
The University of Maryland retains non-exclusive distribution, reproduction, and archival rights to doctoral
dissertations submitted to the Graduate Faculty in fulfillment of requirements for a graduate degree. Such rights
entitle the University of Maryland to reproduce, archive, and distribute dissertations, in whole or in part, in and
from an electronic format, as it sees fit. Distribution is subject to a release date stipulated by the student and
approved by the University.
The Student's Rights and Responsibilities
As the owner of copyright in the thesis or dissertation, students have the exclusive right to reproduce, distribute,
make derivative works based on, publicly perform and display their work, and to authorize others to exercise
some or all of those rights. As a condition of graduation, each student's thesis or dissertation must be published.
When the student submits his or her work to the Graduate School, they will be given several options regarding
access to their document via ProQuest's Digital Dissertations and DRUM, the Digital Repository at the
University of Maryland. The student's options include:
■ Making the thesis or dissertation available via ProQuest and DRUM as soon as it is received The
abstract and full text of your work will be present in ProQuest's Digital Dissertations for purchase, and
will be both freely available and searchable online via DRUM.
■ Restrict online publication of the thesis or dissertation for either 1 or 6 years Students may place
an embargo (a restriction) on electronic access to your document through ProQuest's Digital
Dissertations and DRUM if there is legitimate reason to do so. Patents or future publication, for
example, might be jeopardized by providing unrestricted access (see below). Should a student elect to
restrict online publication of his or her work, a description of the research, including the student's
name, the document's title, the advisor's name, and the abstract will be available via ProQuest and
DRUM, but the actual electronic file will be unavailable for viewing or download until the selected
embargo period has passed.
■ Restrict online publication of the dissertation indefinitely Students may, in rare circumstances,
place an indefinite embargo on access to their work. In this case, a description of the thesis or
dissertation, including the student's name, the work's title, the advisor's name, and the abstract will be
available via ProQuest's Digital Dissertations and DRUM, but the actual electronic file will be
embargoed indefinitely. This option requires the written approval of the Dean of the Graduate School .
This restriction can be lifted at the request of the author at a later date.
These choices only affect the electronic distribution of the thesis or dissertation document. A non-circulating
copy of each University of Maryland thesis or dissertation will be available for consultation in Hornbake
Library's Maryland Room, and print copies of the document will be made available upon request to researchers
through inter-library loan.
Inclusion of One's Own Previously Published Materials in a Dissertation
A graduate student may, upon the recommendation of the dissertation director, and with the endorsement of the
home graduate program's Graduate Director, include his or her own published works as part of the final
dissertation. Appropriate citations within the dissertation, including where the work was previously published,
are required. All such materials must be produced in standard dissertation format.
It is recognized that a graduate student may co-author work with faculty members and colleagues that should be
included in a dissertation. In such an event, a letter should be sent to the Dean of the Graduate School certifying
that the student's examining committee has determined that the student made a substantial contribution to that
work. This letter should also note that inclusion of the work has the approval of the dissertation advisor and the
program chair or Graduate Director. The letter should be included with the dissertation at the time of
submission. The format of such inclusions must conform to the standard dissertation format. A foreword to the
dissertation, as approved by the Dissertation Committee, must state that the student made substantial
contributions to the relevant aspects of the jointly authored work included in the dissertation.
63
Inclusion of Copyrighted Materials in a Dissertation
Students are responsible for ensuring that their thesis or dissertation complies with copyright law. Copyright
law gives the owner of a work exclusive rights to reproduce, distribute, display or perform the work publicly
and to modify or adapt the work and the exclusive right to grant others permission to exercise any of those
rights in the work, subject to certain exceptions . Students are responsible for determining if their use of
another's work requires his or her permission or falls within one of the exceptions.
Students should consider the following questions and consult the following documents for guidance on
complying with copyright law:
Did the work ever qualify for copyright protection?
■ The work never qualified for copyright because, for example, it lacked originality or was created by Federal
employees in the scope of employment.
Copyright in the work has expired.
The use qualifies as a fair use.
Copyright Basics http://www.copyright.gov/circs/circ01.pdf
Idea, Methods, Systems http://www.copyright.gov/circs/circ31.pdf
Works Not Protected by
Copyright http://www.copyright.gov/circs/circ32.pdfand http://www.copyright.gov/circs/circ34.pdf
Has copyright in the work expired?
■ Library of Congress, Duration of Copyright http://www.copyright.gov/circs/circl5a.pdf
■ University of North Carolina " When Works Pass Into the Public Domain " http://www.unc.edu/~unclng/public-
d.htm
■ Cornell University When Works Pass Into the Public Domain in the United States : Copyright Term for
Archivists, Cornell Institute for Digital
Collections http://www.copyright.cornell.edu/training/Hirtle_Public_Domain.htm
■ Center for the Public Domain: http://www.law.duke.edu/cspd/
Is the proposed use a "fair use"?
■ Library of Congress, Can I Use Someone Else's Work? http://www.copyright.gov/help/faq/faq-fairuse.html
■ University of Washington Copyright Connection http://depts.washington.edu/uwcopy/Copyright_Law/Fair_Use/
Additional Requirements
In addition to those requirements specified above, each graduate program may impose additional requirements.
For these requirements, consult the descriptions that appear under the graduate program listings or the special
publications that can be obtained from the graduate programs or colleges.
Graduate School Requirements for the Degree of Doctor of Philosophy
The Doctor of Philosophy Degree is granted only upon sufficient evidence of high attainment in scholarship and
the ability to engage in independent research. It is not awarded for the completion of course and seminar
requirements no matter how successfully completed.
Foreign Language Requirement
Some graduate programs have a foreign language requirement for the Doctor of Philosophy degree. The student
should inquire in the graduate program about this requirement. Students must satisfy the graduate program
requirement before they can be admitted to candidacy for the doctorate.
64
Requirements for the Degree of Doctor of Education
The requirements for the doctoral degrees in education (Ed.D.) parallel those for the Doctor of Philosophy
degree in the College of Education. The Ed.D. requires a minimum of six semester hours of dissertation credit
while the Ph.D. requires a minimum of 12 semester hours of dissertation credit. Consult the Graduate Studies
Office in the College of Education and the individual graduate program for additional details.
Requirements for Other Doctoral Degrees
The particular requirements for the degrees of Doctor of Musical Arts and Doctor of Audiology are given under
the corresponding program description. Contact the individual graduate programs with specific questions.
65
Chapter 10: Academic Policies - Master's Degrees
Graduate School Requirements Applicable to all Master's Degree Programs
Approved Program
The entire course of study undertaken for any master's degree must constitute a unified, coherent program that is
approved by the student's advisor and Graduate Director and meets Graduate School requirements.
Credit Hours
A minimum of thirty semester hours in courses acceptable for credit towards a graduate degree is required
(some degree programs require more than 30 credits). For a master's degree with the thesis option, six of the 30
semester hours must be thesis research credits (799). For the master's degree with the non-thesis option, a
minimum of 18 credit hours in courses numbered 600 and above is required, as well as one or more scholarly
papers, some portion of which must be written. In many cases, successful completion of comprehensive
examinations is required by the program.
Coursework Level
The graduate program must include at least 12 hours of course work at the 600 level or higher; no fewer than 12
hours of course work credit must be earned in the major subject approved by the graduate program in which the
student is enrolled.
Prerequisites and Inclusion of Credit
If the student is inadequately prepared for the required graduate courses, additional courses may be deemed
necessary; such courses will not be considered part of the student's approved program of study.
Single Credit Application
Credits to be applied to a student's program for a master's degree cannot have been used to satisfy any other
previously earned degrees (see policies governing the applicability of previously taken courses to University of
Maryland degrees).
Graduate School Requirements for the Degrees of Master of Arts and Master of Science
Thesis Requirement
A thesis must be submitted for the Master of Arts or Master of Science degrees except for those programs for
which a non-thesis option has been approved by the Graduate Council. Approval of the thesis is the
responsibility of an Examining Committee appointed by the Dean of the Graduate School on the
recommendation of the student's advisor. The advisor is normally the chairperson of the committee, and the
remaining members of the committee are members of the graduate faculty who are familiar with the student's
program of study. The chairperson and the candidate are informed of the membership of the Examining
Committee by the Graduate School staff on behalf of the Dean of the Graduate School .
Research Assurances
Human Subject Research
66
Everyone at the University of Maryland who is conducting research that involves human subjects must obtain
approval in advance from the Institutional Review Board (IRB). The IRB is charged with approving the
initiation of research involving human subjects and conducts periodic reviews of that research to ensure that all
projects comply with Federal regulations. These regulations are strict and the Graduate School urges all
graduate students to consult with the IRB before beginning any research on living subjects. For application
forms and guidelines on such issues as research involving minors or prisoners, surveys, and the use of audio
taping, videotaping, digital recordings and photographs, please see the Institutional Review Board's website
( http://www.umresearch.umd.edu/IRB/ ).
Other Research
If the dissertation research involves the use of vertebrate animals, animal use protocols must be approved in
advance by the Animal Care and Use Committee. If the dissertation research involves hazardous materials,
either biological or chemical, or recombinant RNA/DNA, the research must be approved by the appropriate
University committee. These research assurances must be approved prior to the initiation of any dissertation-
related research, and the approvals must be provided to the Graduate School at the time the student submits the
Nomination of Examining Committee form.
The Master's Thesis Examination
A final oral examination of the thesis will be held when the student has completed the thesis to the satisfaction
of the student's advisor, all other requirements for the degree have been completed, and a 3.0 grade point
average (computed in accordance with the regulations described under "Grades for Graduate students") has
been earned.
Establishment of the Thesis Examining Committee. The Thesis Examining Committee is appointed by the
Dean of the Graduate School, in accordance with the policies listed below:
• Eligibility. A student is eligible to be examined on a thesis if the studentV (a) has met all program
requirements for a thesis examination, (b) is in good standing as a graduate student at the University, (c) is
registered for at least one credit, (d) has a valid Graduate School-approved Thesis Examining Committee, (e)
has at least a 3.0 grade point average, and (f) if this is the second examination, the examination has been
approved by the Graduate School.
• Thesis Examining Committee Membership. The Committee will include a minimum of three members of
the Graduate Faculty, at least two of whom will be Full Members. The Chair of the Committee normally will be
the student's advisor, who will be a Full or Adjunct Member of the Graduate Faculty, or who has been granted
an exception to the policy by the Dean of the Graduate School.
• Membership on a Thesis Examining Committee. Requires nomination by the student's advisor and
Graduate Director in the student's graduate program, and approval by the Dean of the Graduate School . The
nomination of a Thesis Examining Committee should be provided to the Graduate School at least six weeks
before the date of the expected thesis examination. The thesis examination cannot be held until the Graduate
School approves the composition of the Thesis Examining Committee. Furthermore, if the Graduate Faculty
status of any member of an approved Thesis Examining Committee changes, the approval of the Thesis
Examining Committee may be voided, and a new Committee nomination form will be required for approval by
the Graduate School.
• Chair. The Thesis Examining Committee will have as chair the student's advisor, who must be a Full or
Adjunct Member of the Graduate Faculty or, by special permission, has been otherwise appointed by the Dean
of the Graduate School. Thesis Examining Committees may have co-chairs upon the written recommendation of
the Graduate Director and with the approval of the Dean of the Graduate School.
67
Procedures for the Oral Examination:
■ Oral Examination Requirement. Each master's thesis student must defend orally his or her master's
thesis as a requirement in partial fulfillment of the master's degree (an additional comprehensive
written examination may be required at the option of the program.)
■ Committee Preparation. The members of the Thesis Examining Committee must receive the thesis at
least seven working days before the scheduled examination. Should the Thesis Examining Committee
deem it reasonable and appropriate, it may require submission of the thesis more than seven working
days in advance of the examination.
■ Attendance at the Examination. Oral examinations must be attended by all members of the student's
officially established Thesis Examining Committee as approved by the Dean of the Graduate School.
All examinations must be open to members of University of Maryland Graduate Faculty . Programs
may wish routinely to open thesis examinations to a broader audience. In such cases, program policies
must be established, recorded, and made available to all master's students. Should a last-minute change
in the constitution of the Thesis Examining Committee be required, the change must be approved by
the Dean of the Graduate School in consultation with the program's Graduate Director and the chair of
the student's Thesis Examining Committee.
■ Remote Participation in Examinations. The Graduate School policy is that all members of a Thesis
Examining Committee must be physically present in the examination room during the entire defense
and during the committee's private deliberations following the examination. Participation by telephone
is not permitted under any circumstances. While re-affirming this policy, the Graduate Council
approved a policy to permit remote participation by video teleconferencing under the following
circumstances:
• Permission to conduct a remote-participation defense must be obtained by the thesis chair
from the Graduate School in advance. In making this request, the chair must indicate in
writing that he or she has read the rules for a remote defense listed below.
• A competent video technician must be present at both the University site and the remote
location for the entire duration of the defense in the event that technical difficulties arise.
• Only one remote site may be used during the defense.
• The candidate and the committee chair must both be present in the examination room. Neither
may be at the remote site.
• The department/program must pay for all of the costs of the video teleconferencing
arrangements.
□ Location of the Examination. Oral examinations of theses must be held in University facilities that are
readily accessible to all members of the Thesis Examining Committee and others attending the examination.
The chair of the Thesis Examining Committee selects the time and place for the examination and notifies the
other members of the committee and the candidate.
□ Emergency Substitutions. The Graduate School is aware that last-minute emergencies can prevent a
committee member from attending a scheduled thesis examination. We are prepared to work with the thesis
supervisor and/or Graduate Director to make last-minute substitutions in committee membership to allow the
defense to take place as scheduled. Please follow these steps to assure a smooth substitution.
■ The request must be sent in writing. Fax or e-mail requests are acceptable. A telephone call to the Dean
of the Graduate School to alert the Dean that the emergency request is coming will facilitate the
process.
68
■ The proposed substitute must be a member of the Graduate Faculty consistent with the rules for
committee membership. Thus, if a Full Member could not attend, the substitution of an Adjunct or
Special Member of the Graduate Faculty would not be acceptable.
■ Once the written request has been received, the substitution will be made, usually within the hour,
provided that the revised committee meets the requirements for committee membership.
■ When the substitution has been made, a written confirmation, in the same format as the request was
received (fax or e-mail), will be sent out, along with a telephone confirmation. The substitution is not
official, however, until the written confirmation has been received in the department or program.
■ A defense that is held with one or more substitute members on the committee, but without prior written
confirmation from the Graduate School that the substitution(s) have been approved, will be voided and
the defense will have to be repeated.
■ A copy of the written request and the written confirmation will be placed in the student's file for future
reference.
□ Invalidation of the Examination. The Dean may void any examination not carried out in accordance with
the procedures and policies of the Graduate School . In addition, upon the recommendation of the Thesis
Examining Committee or any member thereof, the Dean of the Graduate School may rule an oral examination
to be null and void.
□ Conclusion of the Examination. After the oral examination, the student and any others who are not
members of the Thesis Examining Committee will be asked to leave the room and the Thesis Examining
Committee will discuss whether or not the thesis (including its examination) has been satisfactory.
■ The Committee has the following options :
• To accept the thesis without any recommended changes and sign the Report of Examining
Committee.
• To accept the thesis with recommendations for changes and, except for the chair, sign the
Report of Examining Committee. The chair will check the thesis and, upon his or her
approval, sign the Report of Examining Committee.
• To recommend revisions to the thesis and not sign the Report of Examining Committee until
the student has made the changes and submitted the revised thesis for the Thesis Examining
Committee's approval. The Thesis Examining Committee members sign the Report of
Examining Committee when they approve the revised thesis.
• To recommend revisions and convene a second meeting of the Thesis Examining Committee
to review the thesis and complete the student's examination.
• To rule the thesis (including its examination) unsatisfactory. In that circumstance, the student
fails.
Following the examination, the chair must inform the student of the outcome of the examination. The
chair signs the Report of the Examining Committee indicating which of the above alternatives has been
adopted. A copy of this statement is to be included in the student's file at the graduate program office,
and a copy is given to the student.
□ Passage or Failure. The student passes if all members of the Thesis Examining Committee accept the thesis
(including its examination) as satisfactory. One or more negative votes constitute a failure of the candidate to
meet the thesis requirement. In cases of failure, the Thesis Examining Committee must specify in detail and in
writing the nature of the deficiencies in the thesis and/or the oral performance that led to failure. This statement
is to be submitted to the program's Graduate Director, the Dean of the Graduate School , and the student. A
second examination may be permitted if the student will be in good standing at the time of the proposed second
examination. A second examination requires the approval of the program's Graduate Director and the Dean of
69
the Graduate School. If the student fails this second examination, or if a second examination is not permitted,
the student's admission to the graduate program is terminated.
□ The Decision to Accept the Examination as Satisfactory Must Be Unanimous . Students may present
themselves for examination only twice. The report of the committee, signed by each member, must be
submitted to the Dean of the Graduate School no later than the appropriate date listed in the Schedule of
Classes if the student is to receive a diploma at the Commencement ceremony for the semester in which the
examination is held.
Submission and Publication of the Thesis
Theses are to be submitted to the Graduate School in electronic format after final approval of the document by
the Thesis Examining Committee. See the University of Maryland Thesis and Dissertation Style Guide
(http://www.gradschool.umd.edu/current_students/etd_style_guide.html) for the details of this process.
Theses submitted to the University through the ETD process will also be deposited in the UM Library's online
electronic archive, DRUM (Digital Repository at the University of Maryland, available
at http://drum.lib.umd.edu ). This is a free public archive of academic work by University faculty and graduate
students. The submission of the thesis to the University in fulfillment of degree requirements grants the
University the one-time, non-exclusive right to publish the document on DRUM.
The University 's Rights
The University of Maryland retains non-exclusive distribution, reproduction, and archival rights to doctoral
dissertations submitted to the Graduate Faculty in fulfillment of requirements for a graduate degree. Such rights
entitle the University of Maryland to reproduce, archive, and distribute dissertations, in whole or in part, in and
from an electronic format, as it sees fit. Distribution is subject to a release date stipulated by the student and
approved by the University.
The Student's Rights and Responsibilities
As the owner of copyright in the thesis or dissertation, students have the exclusive right to reproduce, distribute,
make derivative works based on, publicly perform and display their work, and to authorize others to exercise
some or all of those rights. As a condition of graduation, each student's thesis or dissertation must be published.
When the student submits his or her work to the Graduate School, they will be given several options regarding
access to their document via ProQuest's Digital Dissertations and DRUM , the Digital Repository at the
University of Maryland . The student's options include:
Making the thesis or dissertation available via ProQuest and DRUM as soon as it is received
The abstract and full text of your work will be present in ProQuest's Digital Dissertations for purchase, and will
be both freely available and searchable online via DRUM.
Restricting online publication of the thesis or dissertation for either 1 or 6 years
Students may place an embargo (a restriction) on electronic access to your document through ProQuest's Digital
Dissertations and DRUM if there is legitimate reason to do so. Patents or future publication, for example, might
be jeopardized by providing unrestricted access (see below). Should a student elect to restrict online publication
of his or her work, a description of the research, including the student's name, the document's title, the advisor's
name, and the abstract will be available via ProQuest and DRUM, but the actual electronic file will be
unavailable for viewing or download until the selected embargo period has passed.
Restrict online publication of the thesis or dissertation indefinitely
70
Students may, in rare circumstances, place an indefinite embargo on access to their work. In this case, a
description of the thesis or dissertation, including the student's name, the work's title, the advisor's name, and
the abstract will be available via ProQuest's Digital Dissertations and DRUM, but the actual electronic file will
be embargoed indefinitely. This option requires the written approval of the Dean of the Graduate School. This
restriction can be lifted at the request of the author at a later date.
These choices only affect the electronic distribution of the thesis or dissertation document. A non-circulating
copy of each University of Maryland thesis or dissertation will be available for consultation in Hornbake
Library's Maryland Room, and print copies of the document will be made available upon request to researchers
through inter-library loan.
Inclusion of One's Own Previously Published Materials in a Thesis or Dissertation
A graduate student may, upon the recommendation of the thesis director, and with the endorsement of the home
graduate program Graduate Director, include his or her own published works as part of the final thesis.
Appropriate citations within the thesis, including where the work was previously published, are required. All
such materials must be produced in standard thesis format.
It is recognized that a graduate student may co-author work with faculty and colleagues that should be included
in a thesis. In such an event, a letter should be sent to the Dean of the Graduate School certifying that the
student's Examining Committee has determined that the student made a substantial contribution to that work.
This letter should also note that inclusion of the work has the approval of the thesis advisor and the Graduate
Director. The format of such inclusions must conform to the standard thesis format. A foreword to the thesis, as
approved by the Examining Committee, must state that the student made substantial contributions to the
relevant aspects of the jointly authored work included in the thesis.
Inclusion of Copyrighted Materials in a Thesis or Dissertation
Students are responsible for ensuring that their thesis or dissertation complies with copyright law. Copyright
law gives the owner of a work exclusive rights to reproduce, distribute, display or perform the work publicly
and to modify or adapt the work and the exclusive right to grant others permission to exercise any of those
rights in the work, subject to certain exceptions . Students are responsible for determining if their use of
another's work requires his or her permission or falls within one of the exceptions. Permission is not required to
use a work when:
Students are responsible for ensuring that their thesis or dissertation complies with copyright law. Copyright
law gives the owner of a work exclusive rights to reproduce, distribute, display or perform the work publicly
and to modify or adapt the work and the exclusive right to grant others permission to exercise any of those
rights in the work, subject to certain exceptions. Students are responsible for determining if their use of
another's work requires his or her permission or falls within one of the exceptions. Permission is not required to
use a work when:
■ The work never qualified for copyright because, for example, it lacked originality or was created by
Federal employees in the scope of employment.
■ Copyright in the work has expired.
■ The use qualifies as a fair use.
Students should consult the following documents for guidance on complying with copyright law:
Did the work ever qualify for copyright protection?
■ Copyright Basics http://www.copyright.gov/circs/circ01.pdf
■ Idea, Methods, Systems http://www.copyright.gov/circs/circ31.pdf
71
■ Works Not Protected by
Copyright http://www.copyright.gov/circs/circ32.pdfand http://www.copyright.gov/circs/circ34.pdf
Has copyright in the work expired?
■ Library of Congress, Duration of Copyright http://www.copyright.gov/circs/circl5a.pdf
■ University of North Carolina " When Works Pass Into the Public
Domain " http://www.unc.edu/~unclng/public-d.htm
■ Cornell University When Works Pass Into the Public Domain in the United States : Copyright Term
for Archivists, Cornell Institute for Digital
Collections http://www.copyright.cornell.edu/training/Hirtle_Public_Domain.htm
Is the proposed use a "fair use"?
■ Library of Congress, Can I Use Someone Else's Work? http://www.copyright.gov/help/faq/faq-
fairuse.html
■ University of Washington Copyright
Connection http://depts.washington.edu/uwcopy/Copyright_Law/Fair_Use/
Non-Thesis Option
The requirements for Master of Arts and Master of Science degrees without thesis vary slightly among graduate
programs in which this option is available. The quality of the work expected of the student is identical to that
expected in the thesis programs.
Generally, the non -thesis program requires:
■ a minimum of 30 credit hours in courses approved for graduate credit
■ a minimum of 18 credit hours in courses numbered 600 or above
■ the submission of one or more scholarly papers
■ in many cases, successful completion of a comprehensive final examination, at least some portion of
which must be written.
A student following a non-thesis master's program will be expected to meet the same deadlines for application
for a diploma and for final examination reports as those established for all other degree programs.
Requirements for the Degree of Master of Education
Nearly all graduate programs in The College of Education offer the Master of Education (M.Ed.) degree with
the following requirements:
■ A minimum of 30 semester hours in course work.
■ A minimum of 15 hours in courses numbered 600-800 with the remainder in courses numbered 400 or
higher. Some graduate programs require courses outside the College of Education.
■ A comprehensive written examination taken at the end of course work.
■ EDMS 645.
■ One or two seminar papers as determined by the advisor.
Requirements for the Degree of Master of Engineering
All graduate programs in The Clark School of Engineering offer the Master of Engineering (M.Eng.) degree
with the following requirements:
72
A minimum of 30 semester hours of approved course work in an engineering option. The student's program
must be approved by the engineering graduate program that offers the option.
Requirements Applicable to Other Master's Degrees
The particular requirements for the degrees of Master of Applied Anthropology , Master of
Architecture , Master of Business Administration , Master of Community Planning, Master of Fine Arts, Master
of Historic Preservation, Master of information Management, Master of Library Science , Master of Music,
Master of Public Health, Master of Public Management , Master of Public Policy, and Master of Professional
Studies are given under the individual graduate program entries in those fields.
Professional Master's Degrees
The University of Maryland offers a variety of Professional Master's Degree Programs geared towards working
adults. For information about any one of the Professional Master's Program, please visit their websites:
Chemical and Life Sciences
Engineering
Arabic Language
Persian Language
Real Estate Development
Geospatial Information Sciences
Atmospheric and Oceanic Science and Technology
Mathematics of Advanced Industrial Technology
Landscape Architecture
Masters of Business Administration
Masters of Public Management
73
Chapter 11: Academic Policies - Certificate Programs
Certificate Programs
A post-baccalaureate certificate is awarded for the successful completion of a minimum of 12 credit hours of
graduate-level work in a defined subject area under the following conditions:
•The program must include a minimum core requirement of nine credit hours chosen from a limited list as
designated by the graduate program.
•Non-core courses must be chosen from a specific list of acceptable options.
•No fewer than nine credit hours must be earned at the 600 level and above.
•In a twelve credit certificate program three credits may be earned at the 400 level; for certificate programs
requiring more than 12 credits, a maximum of six credit hours may be at the 400 level.
•All credits for a certificate must be completed at the University of Maryland.
•A minimum grade point average of 3.0 is required for the award of a graduate certificate.
•All requirements for the graduate certificate must be completed within a five-year period.
Information on Graduate Certificates can be found on the program's website:
Engineering
Arabic
Persian
Real Estate Development
Geospatial Information Sciences
Computational Methods in Atmospheric and Oceanic Science
General Atmospheric & Oceanic Science
Air Quality Science & Technology
Mathematics of Advanced Industrial Technology
Literacy Coaching
Measurement, Statistics, and Evaluation
Psychiatric Vocational Rehab
Women's Studies
Urban Design
Special Education
Terrorism Analysis
Computational Harmonic Analysis
Critical Theory
Survey Statistics
Scientific Computation
Historic Preservation
Intermediate Survey Methodology
Jewish Studies
Museum Scholarship and Material Culture
Neuroscience and Cognitive Sciences
Population Studies
MSDE Administrator I Certification
74
Chapter 12: Academic Policies - Combined Bachelor's-Master's Programs
Combined Bachelor's-Master's Programs
In a combined bachelor's/master's program, some graduate level courses initially taken for undergraduate credit
may also be applied towards the graduate credit requirements for a master's degree program at the University of
Maryland. A bachelor's/master's program may be developed for an individual student, or it may be a structured
program.
Individual Student Bachelor's/Master's Program
A program may be developed by an individual student in consultation with his/her academic advisor. Such a
program is available only to students whose academic performance is exceptional. It is to be developed
according to the individual career interests and goals of the student and should be an integrated learning
experience rather than merely the completion of a certain number of graduate and undergraduate credits. The
proposed program requires the approval of the Directors of both the undergraduate and the graduate programs
involved and of the Dean for Undergraduate Studies and the Dean of the Graduate School. Normally no more
than nine credits of graduate courses applied to the bachelor's degree may be counted also for graduate credit in
an individual student's program. Courses to be double-counted must be at the 600 level or above and must be
passed with at least a "B" grade. Individual study courses, internships, or courses given as credit by examination
are not eligible. The credits to be double-counted will be designated as applicable to the graduate program of
study after the student receives the bachelor's degree and matriculates in the Graduate School.
Structured Bachelor's/Master's Program
A structured bachelor's/master's program is a clearly defined curriculum combining an existing undergraduate
program and an existing master's program at the University of Maryland, offered by the same or by different
departments. It is designed for students whose academic performance is exceptional and should be an integrated
learning experience rather than merely the completion of a certain number of graduate and undergraduate
credits. A proposal for such a program should be submitted by the colleges housing the academic programs
concerned and requires the approval of the Graduate Council, the Dean of the Graduate School, the Senate PCC
Committee, and the President.
Necessary features of a structured bachelor's/master's program include the following:
■ Specific requirements for admission to the combined program that speak to the exceptional
performance of the students to be admitted. At a minimum, students accepted for the program must be
clearly admissible to the graduate program portion.
■ The program should be designed so as not to unduly delay the completion of the bachelor's degree.
Taking graduate credits should not unduly limit the breadth of the student's experience through
premature specialization.
■ All requirements of the bachelor's program and of the master's program must be completed before the
student may receive both degrees. Where appropriate, graduate courses taken while an undergraduate
may substitute for courses required in the undergraduate major program.
■ The students may be offered deferred admission to the Graduate School at the end of the junior year
program, subject to completion of the senior year program in a timely fashion and with a specified
level of achievement. Formal admission to the Graduate School will require completion of all
requirements for the bachelor's degree.
■ The credits to be double-counted will be designated as applicable to the graduate program after the
student receives the bachelor's degree and matriculates in the Graduate School.
75
A structured bachelor's/master's program may normally include up to nine credits of graduate level courses that
are counted both for the bachelor's program and the master's program. More than nine double -counted credits
may be allowed if both of the following conditions are satisfied:
■ The additional graduate credits applied to the undergraduate program do not unduly limit the breadth
of the student's experience through premature specialization.
■ The master's program requires more than thirty credits.
76
Chapter 13: Academic Policies - Dual Graduate Degree Programs
Dual Graduate Degree Programs
Graduate students who are enrolled in a doctoral program in one department/program may enroll concurrently
for a master's degree in a related area. Examples would be a doctoral student in PHYS enrolling concurrently
for masters in MATH or a doctoral student in ECON enrolling concurrently for a master's in BGMT.
The following rules govern the dual -enrollment process:
• The student must be in good academic standing.
• Both graduate departments/programs must agree to the dual-degree enrollment.
• The full degree requirements must be met in both programs.
• The same course cannot be applied to both programs.
• A written plan for the dual enrollment must be worked out between the two departments/programs regarding
credits, advising, semester loads, etc. Copies of this plan must be placed in the student's file in each program
and a copy sent to the Graduate School to be included in the student's records here.
Once the written plan is filed with the Graduate School, the student's doctoral program will be designated as the
primary degree and the master's program will be designated as the secondary degree. Students and advisors
should bear in mind that our present computer system has no way of knowing towards which degree a given
course grade should be applied for purposes of computing the GPA. Therefore, students enrolled in dual -degree
programs will only have an overall GPA, which reflects their combined performance in the two programs. We
are unable to provide separate GPAs for the masters and doctoral components of the two programs. Students
therefore should be advised that poor performance in their master's program would affect their overall GPA as
it is calculated on their transcript.
Existing Dual Degree Programs
Find information on the following existing dual degree programs on their websites:
Architecture and Community Planning (M.Arch and MCP)
Architecture and Historical Preservation (M.Arch and MHP)
History/Library Science (MA and MLS)
Dual MBA/JD Program
Dual MBA/MS Program
Dual MBA/Masters of Social Work
Dual MPP/MBA Program
Urban Studies and Planning and Law (MCP and JD)
Community Planning and Historic Preservation (MCP and MHP)
Masters of Engineering/Public Policy
Dual MPP/JD Program
Bioengineering (MS and MP)
77
Chapter 14: Academic Policies - Field Committees
Field Committees
Groups of faculty who are engaged in a common research area that crosses disciplinary or sub-disciplinary lines
may seek formal recognition as a Field Committee from the Graduate School. It is assumed that these
committees will find ways to sponsor collaborative scholarship by faculty and graduate students through the
sponsorship of symposia and lectures, the creation of courses, the direction of graduate student research. The
University of Maryland currently recognizes several official Field Committees:
The Burgers Program in Fluid Dynamics
The Field Committee in Nanoscience and Technology
The Maryland Biophysics Program
Field Committee in Energy Systems Engineering
Field Committee in Developmental Science
Field Committee in Film Studies
Field Committee in Medieval and Early Modern Studies
The Graduate School supports and encourages intellectual exchange and collegiality among the academic fields
and disciplines. These exchanges and interactions distinguish the University from a collection of isolated
teaching centers and research institutes, produce advancements in knowledge and intellectual synergy, and
promote a dynamic curriculum that reflects the current development of research and scholarship. To foster these
activities, the Graduate School encourages the formation of interdisciplinary Field Committees. The purpose of
these committees is to enhance collaborative research, foster intellectual achievement, use the Graduate School
's resources to support advanced research, elevate the visibility of the University's expertise in interdisciplinary
areas, and attract graduate students.
Requirements for Formal Recognition
• A minimum of five Full Members of the Graduate Faculty, representing at least two disciplines or sub-
disciplines, must agree to participate.
• The Field Committee faculty must commit to meeting at least twice a semester.
• The Field Committee faculty must keep regular minutes of the meetings.
• The Field Committee faculty must select a spokesperson or convener for the Committee.
Requirements for Offering Courses and Advising Students:
• A set of regularly taught graduate courses must be identified in the Field Committee area.
• The department chair of each member of the Committee must agree to the faculty member's participation in
the Committee.
• Approved graduate programs must be willing to admit qualified students who express a prior interest in the
Committee, and departments must be willing to consider them for department/University support in an open
competition.
• The spokesperson for the Committee must report each semester to the respective Graduate Program Directors
on the progress of graduate students who are affiliated with the Committee.
78
Available Resources for Field Committees
• The Committee may request financial assistance from the Graduate School for brochures and web site
development to advertise and promote the field.
• The Committee may request financial support for speakers, symposia, and other intellectual events from the
Graduate School .
• The Committee may request a sum equivalent to the cost of a course buy-out for the development of a new
course to be offered in the field. Funds will be available for up to two years. In order to receive Graduate School
funds, a department must be willing to support the course at the end of the two-year period if student demand
warrants.
• The Graduate School will list the Field Committee in the Graduate Catalog.
The Graduate School will recognize Field Committees for an initial period of five years. At the end of that
period, the activities and accomplishments of the Committee will be reviewed. If the Committee members and
the Graduate Dean are both satisfied that the Committee is able to foster and enhance intellectual achievements,
the Committee's recognition by the Graduate School will be extended for another period of five years, at which
point it will be reviewed again. The criteria for each review will be the Committee's accomplishments in
enhancing collaborative research and intellectual achievement, and its success in attracting and educating
graduate students.
Approved by the Graduate Council on March 15, 2005.
79
Chapter 15: The Graduate Faculty
University of Maryland Graduate Faculty Members
The Graduate Faculty are responsible for teaching classes restricted to graduate students, designing the
academic content of graduate degree programs, and supervising the writing
Minimum Qualification
To qualify for appointment to the Graduate Faculty, individuals normally will hold the terminal degree in their
discipline.
Membership - Graduate Faculty Categories
There are three categories of membership of the Graduate Faculty: Full Members; Adjunct Members; and
Special Members. All members of the Graduate Faculty will be associated with a home unit. For Full Members
of the Graduate Faculty, the home unit is the primary unit of appointment to rank. For Adjunct and Special
Members of the Graduate Faculty, the home unit is the academic unit responsible for the particular graduate
program initiating the request for nomination. Once appointed, members of the Graduate Faculty are available
to serve across units and within multi-/cross-/interdisciplinary graduate programs.
Appointment procedures
Full Members
Full Members of the Graduate Faculty are tenured or tenure-track faculty at the University of Maryland,
College Park, with duties in teaching and research (Assistant and Associate Professors, Professors, and
Distinguished University Professors); and College Park Professors. Appointment to the Graduate Faculty is
automatic on appointment to the University of Maryland faculty. Faculty awarded Emeritus status continue as
Full Members of the Graduate Faculty for five years after retirement and may be reappointed for additional
five-year terms thereafter, subject to nomination by the home unit. The Nomination to the Graduate Faculty
Form is available here.
Adjunct Members
Adjunct Members of the Graduate Faculty normally come from the ranks specified from the following
categories in the UMCP Policy on Appointment, Promotion, and Tenure of Faculty: faculty with duties
primarily in research, scholarship, or artistic creativity (Research Assistant Professor, Research Associate
Professor, and Research Professor; Assistant and Associate Research Scientist, and Senior Research Scientist;
Assistant and Associate Research Scholar, and Senior Research Scholar; Assistant and Associate Research
Engineer, and Senior Research Engineer; Assistant and Associate Artist-in-Residence, and Senior Artist-in-
Residence); field faculty (Agent, Senior and Principal Agent); faculty engaged exclusively or primarily in
library service (Librarian 3 and 4); and additional faculty ranks (Adjunct Assistant and Associate Professor, and
Professor; visiting appointments that correspond to eligible ranks listed above; and Professor of the Practice).
Exceptionally, faculty in other ranks with appropriate terminal qualifications, expertise, and experience may be
proposed for Adjunct Membership in the Graduate Faculty.
Appointment is by approval of the Dean of the Graduate School. Nomination for appointment to Adjunct
Member of the Graduate Faculty is made by the Head of the home unit, on the recommendation of the Full
Members of the Graduate Faculty in the unit. Each nomination will include a letter of support from the Head of
80
the home unit, confirmation of approval of the Full Members of the Graduate Faculty in the unit, and current
curriculum vitae. The term of appointment is five years and is renewable upon re-nomination by the Head of the
home unit after appropriate review within the unit. The appointment is terminated upon resignation or
retirement.
The Nomination to the Graduate Faculty Form is available here.
Special Members
Special Members of the Graduate Faculty are scholars who have no official affiliation with the University of
Maryland.
Appointment is by approval of the Dean of the Graduate School . Nomination for Appointment to Special
Member of the Graduate Faculty is made by the Head of the home unit, on the recommendation of the Full
Members of the Graduate Faculty in the unit. Each nomination will include a letter of support from the Head of
the home unit, confirmation of approval of the Full Members of the Graduate Faculty in the unit, and current
curriculum vitae. The term of appointment is five years and is renewable upon re-nomination by the Head of the
home unit after appropriate review within the unit. The appointment is terminated upon resignation or
retirement.
The Nomination to the Graduate Faculty Form is available here.
Exceptional Appointments
Exceptions to the procedures listed above may be approved by the Dean of the Graduate School and will be
reported to the Graduate Council at its final meeting of each academic year. Each request for an exception will
include a letter of justification from the Head of the home unit, making a compelling case that the exception is
necessary to fill a particular need, confirmation of approval of the Full Members of the Graduate Faculty in the
home unit, and current curriculum vitae. All exceptions will be effective for periods of up to five years and may
be re-approved for periods of up to five years based on a review in the home unit and the recommendation of
the Head of the home unit. The appointment is terminated upon resignation or retirement.
Faculty of Multi-Campus Graduate Degree Programs
Exceptionally, faculty who hold appointments at other institutions of the University System of Maryland and
who participate in approved multi-campus graduate degree programs may be appointed Full Members of the
Graduate Faculty at the University of Maryland. Such exceptions will be proposed on an individual basis, be
subject to approval by the Dean of the Graduate School, and be reported to the Graduate Council at its final
meeting of each academic year. Each request for an exception will include a letter of justification from the
Graduate Director of the multi -campus program, confirmation of approval of the Full Members of the Graduate
Faculty in the program, and current curriculum vitae . All exceptions will be effective for periods up to five
years, and may be re-approved for periods of up to five years based on a review by the program and the
recommendation of the Graduate Director of the program. The appointment is terminated upon resignation or
retirement.
Resolving Conflicts with Past Practice: Any extant Graduate Faculty appointments that do not meet these
criteria will terminate by May 2, 2010, five years from the date of implementation of this policy, May 2, 2005.
Reappointment to the appropriate category will follow the nomination procedure given above for that category.
81
Prerogatives of Membership by Category
Full Members
Full Members of the Graduate Faculty are eligible to teach courses restricted to graduate student enrollment;
serve on program graduate committees; direct Master's thesis research and chair Master's thesis examining
committees; direct doctoral dissertation research and chair doctoral dissertation examining committees; and vote
for and serve on the Graduate Council and its committees.
Adjunct Members
Adjunct Members of the Graduate Faculty are eligible to teach courses restricted to graduate student enrollment,
serve on program graduate committees, direct Master's thesis research, chair Master's Thesis Examining
Committees, and co-direct doctoral dissertation research, but not direct doctoral dissertation research or chair
Dissertation Examining Committees.
Special Members
Special Members of the Graduate Faculty are eligible to serve on program graduate committees and co -direct
Master's thesis research, but may not direct or co-direct doctoral dissertation research or chair Master's Thesis or
Doctoral Dissertation Examination Committees.
Membership of Former University of Maryland Faculty
Full Members of the Graduate Faculty who terminate their employment at the University of Maryland under
honorable circumstances (and who do not have emeritus status) may for a 12-month period following their
termination serve as members and Chairs of Dissertation examination committees. They may not serve as
Dean's representatives.
Exceptions to Policy
Exceptions to the prerogatives listed above must be approved by the Dean of the Graduate School and will be
reported to the Graduate Council at its final meeting of each academic year. In particular, the Dean of the
Graduate School may authorize Adjunct and Special Members of the Graduate Faculty to chair a doctoral
Dissertation or master's Thesis Examining Committee on the recommendation of the home unit that the member
possesses the requisite skills and scholarly expertise. Each request for an exception will include a letter of
justification from the Head of the home unit, making a compelling case that the exception is necessary to fill a
particular need, confirmation of the approval of the Full Members of the Graduate Faculty in the home unit, and
current curriculum vitae.
82
Chapter 16: Academic Policies - Other Graduate School Policies
Other Graduate School Policies
Waiver of a Regulation
All policies of the Graduate School have been formulated by the Graduate Council with the goal of ensuring
academic quality and approved by the Provost. These policies are to be equitably and uniformly enforced.
Circumstances occasionally occur that warrant individual consideration. A graduate student who believes that
there are compelling reasons for a specific regulation to be waived or modified, the student should submit a
written petition to the Dean of the Graduate School , Room 2125, Lee Building, explaining the facts and issues
that bear on the case. In all instances, the petition must be signed by the student's Graduate Director and, if the
petition involves a course, by the course instructor. If these individuals recommend approval, in writing, the
petition is then forwarded to the Office of the Dean of the Graduate School for consideration. Forms for
Petitions for Waivers of Regulation are available
at http://www.gradschool.umd.edu/current students/general forms for gradaute students.html .
Application for Graduation
During the academic year, applications for graduation must be filed with the Office of the Registrar within the
first ten days of the semester in which the candidate expects to obtain a degree. During the summer session, the
application must be filed during the first week of the second summer session. Exact dates are noted for each
semester and the summer sessions in the Schedule of Classes. Failure to meet specific deadlines may result in a
delay of one or more semesters before graduation. In addition, the Thesis and Dissertation Manual contains a
time line for completion of the master's or doctoral degree. If for any reason students do not graduate at the end
of the semester in which they have applied for the diploma, the application will automatically transfer to the
following semester.
Academic regalia are required of all candidates at commencement exercises. Those who so desire may purchase
or rent caps and gowns at the University of Maryland student supply store. Orders must typically be filed eight
weeks before the date of Commencement at the University Book Center in the Stamp Student Union.
Arbitrary and Capricious Grading Policies
Policy and Procedures for Review of Alleged Arbitrary and Capricious Grading in Courses
Arbitrary and capricious grading is constituted by the assignment of a course grade to a student on some basis
other than performance in the course, or the assignment of a course grade to a student by unreasonable
application of standards different from standards that were applied to other students in that course, or the
assignment of a course grade by a substantial and unreasonable departure from the instructor's initially
articulated standards.
A student who believes he or she has received an improper final grade in a course should inform the instructor
promptly. The instructor will meet with the student at a mutually convenient time and place within ten working
days of receipt of the information. The purpose of the meeting is to attempt to reach a resolution.
If the instructor has left the University, is on approved leave, or cannot be reached by the student, the student
should contact the Department Chairperson. The Department Chairperson, or a designee, will meet with the
student as described above to attempt to resolve the problem.
If these meetings (known as the informal process) do not resolve the problem, the student may initiate a formal
appeal. This appeal must be made in writing to the Dean of the Graduate School and must contain: the course
title and number; the instructor's name; and a statement detailing why the grade is believed to be arbitrary and
capricious as defined in this policy, and providing all relevant supporting evidence. The appeal must be received
83
in the Dean's Office within twenty (20) days of the first day of instruction of the next semester (excluding
summer.) If these criteria are met, the Dean will institute a formal procedure.
Formal Procedures
Each academic unit will have a standing committee of two tenured professors and two graduate level students to
hear appeals of arbitrary and capricious grading. The appeal will be heard within the academic unit offering the
course. If the instructor of the course is a member of the committee, that instructor will be replaced by an
alternate designated by the Department Chairperson.
Each written appeal is to be reviewed by the entire committee for a decision by the majority. The committee
will either dismiss the appeal, or move it forward. Grounds for dismissal are: the student has submitted the same
complaint to any other grievance procedure; the allegations, if true, would not constitute arbitrary and
capricious grading; the appeal was not timely; or the informal process has not been exhausted. If the appeal is
dismissed, the committee will notify the student in writing within ten days of the decision, and will include the
reason or reasons for the dismissal.
If the appeal is not dismissed, the committee will submit a copy of the appeal to the instructor. The instructor
must reply in writing to the committee within ten days. If, based on the instructor's reply, the committee feels
there is a viable solution, that solution should be pursued with the student and the instructor. If no solution is
reached, the committee shall hold a fact-finding meeting with the student and the instructor. It is to be non-
adversarial and informal, with neither party represented by an advocate.
Witnesses may be asked to make statements to the committee if the committee is informed prior to the meeting.
The meeting will not be open to the public. The committee will meet privately at the close of the fact-finding
meeting to decide whether a majority believes the evidence supports the allegation of arbitrary and capricious
grading beyond a reasonable doubt. The committee will notify the student, the instructor, and the Dean of the
Graduate School of the decision in writing within five days of the meeting.
The committee has the authority to take any action that it believes will bring about substantial justice, including
but not limited to directing the instructor to grade the student's work anew, directing the instructor to administer
a new final exam or paper, directing the cancellation of the student's registration in the course, and directing the
award of a grade of "pass" in the course. The committee does not have the authority to assign a letter grade for
the course or reprimand or take disciplinary action against the instructor.
The decision of the committee is final, and binding on both parties. The decision may not be appealed to any
other body within the University of Maryland or the University of Maryland System .
The Dean of the Graduate School will be responsible for implementing the decision of the committee.
Policy and Procedures for Appeals of Alleged Arbitrary and Capricious Grading of Doctoral Qualifying
Examinations
The University procedures for reviewing alleged arbitrary and capricious grading of doctoral qualifying
examinations envision a multi-step process. (Qualifying examinations are defined as any examinations, oral or
written, that are necessary, but not sufficient, for admission to candidacy for a graduate degree.) Prior to filing a
formal written appeal, the student must engage in an informal attempt to resolve the problem directly with the
Chair of the Examination Committee. The Graduate School's Ombudsperson may be called upon to facilitate
resolution if both parties agree. If these informal efforts fail, then the student may file a formal appeal to the
Dean of the Graduate School. When such an appeal is received by the Graduate School, the Program will be
notified and will receive a copy of the appeal letter. An Appeal Committee of faculty and students established
by the Department/Program will then meet to conduct the formal appeal process.
84
The formal appeal process consists of four phases. In the first phase, the Committee evaluates the student's
written appeal and determines, according to certain established criteria, whether it should be dismissed on
procedural grounds or whether the process should move forward to the next phase. In the second phase, the
appeal is sent to the Chair of the Examination Committee for a written response.
In the third phase, the Appeal Committee decides if there may be a viable informal solution and if so, pursues it
with both the student and the graduate program. If the Appeal Committee does not feel that such an attempt
would be feasible or if the effort is unsuccessful, the process moves to phase four, which is the fact-finding
phase.
In the fact-finding phase, the student, the graduate director, and a member of the examination committee meet
with the Appeal Committee. Each party may make statements to the Appeal Committee and may call
witnesses. This phase, however, is both informal and non-adversarial, and neither side may be represented by
an advocate. After hearing both sides, the Appeal Committee meets privately to consider the evidence and
decide whether the evidence offered in support of the allegation of arbitrary and capricious grading is clear and
convincing. If the Appeal Committee supports the allegation, it then has several options for resolving the
issue. Whatever the decision of the Appeal Committee, it is binding on both parties and is final; i.e., it may not
be appealed elsewhere in the University of Maryland or elsewhere within the University System of Maryland.
Qualifying examinations are defined as any examinations, oral or written, that are necessary, but not sufficient,
for admission to candidacy for a graduate degree. Arbitrary and capricious grading applies only to the grade
assigned in a doctoral qualifying examination. Arbitrary and capricious grading is defined as any of the
following: a) The assignment of a grade to a student on some basis other than performance in the qualifying
examination; or b) the assignment of a qualifying examination grade to a student by an unreasonable application
of standards different from standards that were applied to other doctoral students, where an objective
comparison of students is possible; or c) the assignment of an examination grade by a substantial and
unreasonable departure from the graduate program's or the Examination Committee's initially articulated
standards or requirements for the doctoral qualifying examination.
The Informal Appeal Process
Before proceeding to a formal appeal, the student should contact the Chair of the Examination Committee and
meet, at least once, at some mutually convenient time and place in an attempt to resolve the issue or issues. This
meeting should take place within 10 campus business days of the Examination Committee Chair receiving the
informal appeal from the student. Campus business days do not include Saturdays, Sundays, and official
campus holidays.
If the Examination Committee Chair has left the university, is on approved leave, or cannot be reached by the
student, the student should contact the Department/Program Chair. The Department/Program Chair, or a faculty
member designated by the Chair, will to attempt to resolve the issue.
The Ombudsperson for Graduate Students and/or the Graduate Director may be called upon to facilitate
resolution if both parties agree.
The Formal Appeals Process
If the informal process does not resolve the issue, the student must file a written appeal. The written appeal
must be received by the Office of the Dean of the Graduate School within 20 campus business days after the
first day of instruction of the following semester.
The deadline for appeals of a spring-semester examination, or an examination taken during either semester of
summer session, is the 20th campus business day after the first day of instruction of the following fall
semester. Appeals of a fall semester examination or a winter term examination must be made by the 20th
campus business day after the first day of instruction of the following spring semester.
85
The letter of appeal should contain the Examination Committee Chair(s) name, the Graduate Director(s) name,
the date(s) of the examination, and an explanation of why the student believes the examination result was
arbitrary and capricious, as defined by the policy. Any relevant supporting evidence should be included with
the letter.
Each Program should have a standing committee to hear appeals of arbitrary and capricious grading of doctoral
qualifying examinations. The Appeal Committee may be the same committee formed within the Program to
hear appeals of arbitrary and capricious course grades. This committee should generally be formed specifically
for the purpose of hearing appeals of arbitrary and capricious grading and not a subcommittee of any other
committee. The Appeal Committee should normally be appointed at the start of the academic year. The terms of
its members should be for at least one academic year.
The Appeal Committee should be composed of two tenured faculty and two graduate students appointed by the
Graduate Director of the Program offering the course. In addition, the Dean of the College will appoint one
additional member to the Appeal Committee who is a member of the Dean's Office staff and who is also a
member of the Graduate Faculty. If no such person is available from the Dean's Office staff, the Dean will
appoint a committee member from a Department/Program other than that of the appellant's
Department/Program within the college.
No member of the student(s Examination Committee may also be a member of the Appeal Committee. In such a
situation, a substitute member should be appointed by the Graduate Director.
All actions of the Appeal Committee are by majority vote. In the event that the Appeal Committee, at any stage
of the process, is unable to reach a majority decision, the Dean of the College or his/her designee, should cast
the deciding vote. In the case of inter-college programs, the participating deans may decide which of them will
have responsibility for casting the deciding vote.
The Initial Evaluation Phase.. In this phase, the only task of the Appeal Committee is to review the letter of
appeal to determine whether the appeal should be dismissed on procedural grounds or moved forward to the
next phase. If any of the specified procedural grounds for dismissal are met, the appeal must be dismissed. The
procedural grounds for dismissal are as follows: a) The student did not meet with the Examination Committee
Chair to resolve the issue informally; or b) the appeal was not timely (i.e., it arrived later than the 20th campus
business day after the first day of instruction of the following semester, as specified above); or c) the student has
already submitted the same complaint through another grievance procedure; or d) the allegations, if true, would
not constitute arbitrary and capricious grading of a qualifying examination.
During this initial evaluation phase, the Appeal Committee should consider only the student's letter of appeal; it
should not seek or consider comments or responses from the Examination Committee, or other faculty or
students. During this initial evaluation phase, the Appeal Committee is not to decide the truth of the student's
allegation(s); it should accept the student's allegations at face value (i.e., assume for the moment the allegations
are true.) If, based on its evaluation of the student's letter of appeal, the Appeal Committee decides that one or
more of the four procedural grounds for dismissal have been met, the Appeal Committee must dismiss the
appeal and the process ends. The Appeal Committee Chair should notify the student, the Examination
Committee Chair, the Graduate Director, and the Dean of the Graduate School in writing within 10 campus
business days if the appeal is dismissed. The Appeal Committee Chair's letter should include the reasons for the
dismissal.
The Examination Committee's Response Phase. If the appeal is not dismissed, the Appeal Committee Chair
should promptly submit a copy of the student's written appeal to the Chair of the Examination Committee with a
copy to the Dean of the Graduate School . The Chair of the Examination Committee should submit a written
response to the Appeal Committee Chair within 10 campus business days of receiving the appeal.
The Dispute Resolution Phase. If, after reviewing the Examination Committee's response, the Appeal
Committee feels that a solution may be possible, the Appeal Committee should meet with the student and the
86
Examination Committee, separately and/or jointly, to attempt to resolve the dispute. The dispute resolution
phase should not generally have a duration longer than 30 calendar days from receipt of the Examination
Committee's written response, unless both Committee Chairs agree in writing to continue for a further, brief,
specified period. If the Appeal Committee's resolution efforts are successful, both Committee Chairs should
sign a memorandum that states the agreed-upon solution. A copy of this memorandum should be placed in the
student's file in the Department/Program and a copy should be sent to the Graduate School and to the student. If
resolution by the Appeal Committee either is not attempted or is unsuccessful, the Department/Program Chair,
the Graduate Director, the Examination Committee Chair, and the Dean of the Graduate School should be
promptly notified, and the process advances to the fact-finding phase.
The Fact-Finding Phase. If a solution is not attempted or is not reached through dispute resolution, the fact-
finding meeting should be held promptly thereafter. In addition to the Appeal Committee members, the
student and the Chair of the Examining Committee should be in attendance. Either party may invite witnesses to
give evidence if the Appeal Committee Chair is notified prior to the meeting. The Chair of the Appeal
Committee should generally be given at least 24 hours advance notice of the intention to call witnesses. During
the fact-finding meeting, both the student and the Examining Committee Chair may present statements, oral or
written, to the Appeal Committee as well as other documentation to support their positions. Neither party may
be represented by an advocate of any kind. The meeting will not be open to the public. The Graduate School
may send an administrator to observe the proceedings, but this observer should not participate substantively in
the proceedings themselves. The meeting is to be both informal and non-adversarial; its purpose is to
determine the relevant facts in the matter. At the close of the fact-finding meeting, the Appeal Committee will
meet privately to consider the evidence presented. If the majority of the Appeal Committee believes that the
student has not provided clear and convincing evidence of the allegation of arbitrary and capricious grading of a
qualifying examination as defined above, the appeal must be denied. If the majority of the Appeal Committee
believes that there is clear and convincing evidence that supports the allegation of arbitrary and capricious
grading, the Appeal Committee will decide which of the various actions within its authority (see below) should
be taken. The Appeal Committee Chair should notify the student, the Department/Program Chair, the
Examining Committee Chair, the Graduate Director, and the Dean of the Graduate School in writing of the
Appeal Committee's decision on the appeal within five campus business days after conclusion of the fact-
finding meeting.
The Authority of the Appeal Committee. The Appeal Committee generally has the authority to take any
action it believes will bring about substantial justice, except a) it may not direct that a passing grade for the
qualifying examination be assigned for the student; and b) it may not reprimand or take disciplinary action
against the Examination Committee or any of its members.
The following is a list of possible actions that the Appeal Committee may take. The list is not exhaustive; the
Appeal Committee may take other appropriate actions in order to achieve what it believes to be substantial
justice, a) The Appeal Committee may direct the Department/Program that the examination be re-graded by a
new Examination Committee from within the Program, b) The Appeal Committee may direct the Program that
the examination be re-graded by a new Examination Committee from outside the Program, c) The Examination
Committee may be directed to administer a new examination, d) The Appeal Committee may direct that a new
Examination Committee be formed from within the Department/Program which will administer and grade an
entirely new examination, e) The composition of the new Examination Committee will be determined by the
Appeal Committee in accordance with the prevailing rules of the Program. At the discretion of the Appeal
Committee, the new Examination Committee may have one of its members from outside of the University of
Maryland . f) In the event that the qualifying examination was an oral examination, a new oral examination
must be administered. In the event of a combined written/oral qualifying examination, a new oral portion must
be administered. The Appeal Committee may direct that this new examination be administered by an
Examination Committee that consists of some or all members of the original Examination Committee or an
entirely new committee.
The Appeal Committee's Decision. The decision of the Appeal Committee is final and binding on both
parties. The decision may not be appealed to any other body within the University of Maryland or within the
University System of Maryland. If, as a result of this appeals process, the student's advisor no longer wishes to
87
advise the student, the Graduate Director will act as the student's temporary advisor for a period of not more
than six months to allow the student time to find a new advisor. If the Graduate Director is a member of the
Examination Committee, this assignment will be carried out by the Department/Program Chair.
Implementation of the Appeal Committee's Decision. The Director of Graduate Studies and the
Department/Program Chair will be responsible to the Dean of the Graduate School for implementing the
decision of the Appeal Committee.
Graduate Student Parental Accommodation Policy
The University of Maryland Graduate Student Parental Accommodation Policy provides a period of up to six
(6) weeks during which new parents may postpone completion of academic requirements. It is intended to
provide graduate students with an opportunity to integrate the challenges of new parenthood with the demands
of graduate-level training, scholarship, and research. In addition to providing support to young families, this
policy seeks to reduce attrition and improve time to degree for students who become parents.
The Parental Accommodation Policy is not a leave of absence. This policy allows students to maintain status as
full-time, registered graduate students, and thus be eligible for the rights and privileges of registered students
(e.g., access to University resources) while adjusting to their new familial obligations.
During this parental accommodation period, eligible students will continue to be enrolled as fulltime graduate
students and will continue to pay tuition and fees. Students also will be expected to keep the lines of
communication with their departments open and demonstrate to their advisors that they are academically
engaged and making progress in coursework and research, though perhaps at a slower pace.
ELIGIBILITY: To be eligible to apply for the benefits of the Parental Accommodation Policy, a new parent
must (1) have been enrolled full-time for at least one full semester in a graduate program at the University, (2)
be enrolled full-time at the time of application, (3) be in good academic standing, and (4) be making satisfactory
progress toward degree. Any parent (regardless of gender) is eligible to apply.
In the event that both parents are eligible, each is individually entitled to a Parental Accommodation period of
up to six (6) weeks. This Parental Accommodation period may be taken concurrently with or consecutively to
the Parental Accommodation period taken by the other parent, with or without some overlap. The total
combined Parental Accommodation period for both parents, however, may not exceed 12 weeks and must
conclude 12 weeks following the child's birth or adoption.
ACCOMMODATION: Approval of a student's application for a period of Parental Accommodation allows the
student, assuming the prior agreement of instructors, advisor, and academic program, to modify deadlines and
academic expectations to accommodate the student's new parental responsibilities. Students may be able to
postpone completion of course assignments, examinations, and other academic requirements for a period of up
to six (6) weeks. Students who will be enrolled in courses during the accommodation period must meet with
their instructors to develop a written plan as to how they will satisfactorily complete the course(s). These plans
must be approved and signed by the instructor(s) and submitted as part of the Parental Accommodation
Application form. At the end of the accommodation period, students are expected to return to graduate study
and resume progress toward completion of their degree. Deadlines with regard to time to degree, time to
candidacy, time to comprehensive or qualifying exams, etc. will be extended one semester per childbirth or
adoption, upon the request of the student. The total additional time granted for the extension of any deadlines as
a result of the student's use of the Parental Accommodation Policy, however, cannot exceed a maximum period
of one (1) year, regardless of the number of births or adoptions, or the number of times the student invokes the
Parental Accommodation Policy.
The period of Parental Accommodation begins immediately upon the birth or adoption; must be taken in a
consecutive block of time; and cannot extend beyond six (6) weeks. The student may not divide the
accommodation period into separate periods or defer the accommodation period beyond this time limit. In the
88
event of simultaneous multiple births or adoptions, the maximum Parental Accommodation period for which a
student is eligible with respect to that event remains six (6) weeks.
APPLICATION: At least eight (8) weeks prior to the anticipated birth or adoption, students must submit a
written application for Parental Accommodation signed by the Faculty Advisor, Director of Graduate Studies,
and the Chair of their academic department, to the Graduate School. (In unusual or extraordinary circumstances,
the Graduate School may accept applications with less than eight weeks notice.)
Written plans to complete coursework, signed by the student and the instructor, must be provided for each
course in which the student will be enrolled during the accommodation period. The discretion to provide an
accommodation that allows a student to be away from the classroom for six weeks rests with the individual
course instructor. Faculty are strongly encouraged to work with students to develop an accommodation that
permits the student to fulfill academic coursework requirements while benefitting from a period of parental
accommodation, and that also maintains fairness with regard to other students. In some cases such an
accommodation may not be feasible. In such cases, faculty should provide a written explanation to the
department's Director of Graduate Studies as to why the accommodation is not possible, and students should
adjust their class schedules accordingly.
The Dean of the Graduate School will review the request and notify the student and the student's academic
program if the request for a period of Parental Accommodation has been approved. The Graduate School will
coordinate with academic programs to make appropriate adjustments to the student's deadlines and records.
Retroactive requests will not be considered. A copy of the application form is attached.
International students should discuss plans with the Office of International Services as soon as possible in order
to identify and address proactively any individual or unique visa issues and/or to consider the latest applicable
regulations. The intent of this policy is to permit all students to maintain their status as full-time, enrolled
students during this period of accommodation. Medical complications, prior to or following the birth, are not
covered by this policy. If a student is not able to return at the end of the period of accommodation, s/he should
consider applying for a Leave of Absence. See the Graduate School's registration policy for more information.
89
Chapter 17: Graduate School Services
Ombudsperson for Graduate Students
The Ombuds Office for Graduate Students seeks to ensure that the graduate student voice is heard and that
problems receive impartial attention. The Ombuds Office is available to all graduate students with questions or
concerns related to their graduate experience. The Ombuds Office provides confidential, informal, and
independent assistance to resolve conflicts, and promotes fair and equitable treatment within the University. The
office can be reached at 2103 Lee Building , 301-405-3132, http://www.gradschool.umd.edu/ombuds.
The Office of Graduate Recruitment, Retention, and Diversity (OGRRD)
The Office of Graduate Recruitment, Retention and Diversity (OGRRD) is dedicated to fostering a supportive
University environment for graduate students from under-represented minority groups, for graduate students
who are women, and for graduate students with disabilities. The Office's programs and services serve to attract
new students, to build a collaborative and cooperative community, and to promote professional development
among graduate students to ensure academic success. Its initiatives include, but are not limited to: conducting
student recruitment activities, including a campus visitation weekend, summer undergraduate research
programs, and faculty partner programs; building a supportive community by providing an arena for
discussion groups on a variety of relevant topics, conducting research symposia, sponsoring an annual team-
building retreat, supporting a viable one-on-one peer mentoring program, and supporting graduate student
organizations; sponsoring programs and activities designed to foster professional development, including
workshops and seminars on academic and research skills, participation at scientific meetings, preparing for the
professoriate and other careers, and hosting on-campus scientific presentations and a minority professional
seminar series. In addition to its own initiatives, the Office works with the University's various colleges and
departments to serve the needs of a diverse student body.
Graduate Legal Aid Office
The Graduate Legal Aid Office provides free legal advice, referrals, and assistance to currently registered
University of Maryland graduate students. Staff members give general legal advice on a wide variety of matters,
including landlord-tenant issues, consumer problems, traffic accidents, uncontested divorces, and University-
related matters. The Office provides direct legal assistance in routine matters, but cannot sue on behalf of
students or represent them in court. The Office is staffed eight hours a week for student interviews; staff
members see students on a walk -in basis and by appointment. Walk-in and appointment schedules are posted on
the Office door. The Office cannot handle disputes between graduate students (though the Ombudsperson for
Graduate Students may be consulted for assistance in these disputes) and does not provide emergency services.
English Editing for International Graduate Students
The English Editing for International Graduate Students (EEIGS) program, operating under the aegis of the
Graduate School 's Office of Recruitment, Retention, and Diversity, offers editing services for international
graduate students who must present required seminar papers, theses and dissertations in English. This program
is staffed by volunteers from the University's "Retired Volunteer Service Corps" and the Golden I. D. Group,
and by volunteers from other University and non-University sources. These services are free.
The EEIGS program operates as follows:
• The names and telephone numbers of volunteer editors on whom students may call may be obtained by
calling the Graduate School at 301-405-4183.
• The student will be responsible for contacting a volunteer editor to arrange for the editing services. If
90
an arrangement does not work out satisfactorily, either the student or the volunteer editor may
discontinue it. The student may then seek another volunteer editor.
• The student should allow a reasonable amount of time for the editing services. Documents cannot be
edited on very short notice.
• Editing services are expected to take place on the University of Maryland campus. The student will be
responsible for finding working space (for example, an empty classroom or office in the student's
department).
• The student is expected to inform the Director of Graduate Studies of the department in which he or
she is majoring about the aid being received through this program.
Graduate students and other members of the University of Maryland community may also offer English
language services for a fee. Graduate students in the Department of English who are available for this service,
for example, can be contacted through the Director of Graduate Studies, Department of English, 3101
Susquehanna Hall.
Health Insurance
Because the service provided by the Health Center is limited and many students do not have adequate health
insurance coverage, a voluntary group insurance policy (MAMSI) is available to graduate students. This policy
provides benefits at reasonable rates for hospital, surgery, emergency, laboratory, and x-ray services; some
coverage for mental health; and contains a major hospital provision. Students may elect to have family
coverage. For additional information and application forms, visit the following website:
http://www.mamsi.eom/d/m/umd/index.isp .
Teaching, research, and graduate assistants are also eligible for the State Employee Insurance Plan options.
Further information can be obtained from the student's graduate program payroll and benefits coordinator or the
University Human Relations' Benefits Office: http://www.uhr.umd.edu/benefits/benefits2001/benefits2001.htm
Graduate fellows can apply for health insurance coverage through MAMSI. Effective Fall Semester 2005, the
Graduate School will provide a reimbursement of 50% of the MAMSI insurance premium for individual
coverage to full-time graduate students who are supported on full fellowships funded by the Graduate School
through the block grant program. Subsidy of coverage for dependents will not be available. Funding for fellows'
health insurance reimbursement is limited and will be provided on a first-come, first-served basis. To obtain
more information, go to the following website: http://www.gradschool.umd.edu/Fellowship/insurance.htm .
Promise
Promise - Maryland's Alliance for Graduate Education and the Professoriate: This office supports activities and
programming to enhance community and provide preparation for the professoriate in science, technology,
engineering and mathematics (STEM) and all other University programs.
91
Chapter 18: Other University Services
Bursar : Student account information.
Career Center : On and off -campus employment, assistantships, career information, TERP Online database.
Commuter Affairs , Office of: Commuter information, off-campus housing, community service, Shuttle UM
Dining Services: Dining rooms, restaurants, and eateries can be found in over 35 different locations across
campus.
Disability Support Services : provides and coordinates direct services and assistance for students, faculty, staff,
and University visitors with disabilities.
Graduate Student Housing : administered by the Vice President for Student Affairs. For information about
graduate housing in close proximity to the University, write or call the Office of Resident Life, or e-mail grad-
housing@smc-grad-housing.com , or refer to the website at www.smc-grad-housing.com .
Human Relations Programs, Office of : Provides leadership on issues dealing with sexual harassment,
affirmative action, recruitment, retention, race relations, conflict management, teaching effectiveness and
organizational development to the entire University community.
Information Technology, Office of (OIT): E-mail accounts, dial-in access, helpdesk, other computer-related
information.
Libraries , University of Maryland: General library information, including online catalogs, electronic databases,
and collection information.
Ombudsperson for Graduate Students : Provides confidential support for the solution of problems facing
graduate students.
Department of Campus Parking ,: Permits, regulations, ticketing, meter, and lot information.
Recreation Services , Campus: Intramurals, non-credit instruction, facilities, University programs.
Residency Classification Office : Information on in-state / out of state tuition, obtaining Maryland residency,
petitions, problems.
Resident Life : On-campus housing information.
Technology Commercialization, Office of: Office responsible for the protection, marketing, and licensing of
University intellectual property.
Terrapin Trader : University warehouse of surplus goods - computers, furniture, other equipment.
Travel Services : Provides travel policy clarification and information about service providers and discounts;
facilitates procurement of travel and expense reconciliation processing.
University Book Center : Textbook information, hours, location.
92
Chapter 19: University Publications
The Graduate Catalog: This document lists the policies of the University of Maryland on all aspects of
graduate education; it also lists graduate program information, courses approved for graduate credit, and all
current members of the graduate faculty. It is available at http://www.gradschool.umd.edu/catalog .
Departmental Brochures: Small brochures describing many of the departments and programs at the
University of Maryland are available free of charge.
Schedule of Classes: The Schedule of Classes lists course offerings, class times, and room assignments,
registration dates and procedures, deadlines, fees, and general information. The schedule is published four
times a year, twice each semester. The first edition is available prior to early registration for the spring and fall
semesters. The second edition, published a few weeks before the beginning of each semester, updates course
offerings and registration procedures. The schedule is available to all students free of charge and can be picked
up at the Mitchell Building, Stamp Student Union, Hornbake Library and McKeldin Library. An online version
is available at http://www.testudo.umd.edu/ .
Graduate Application Booklet: For those unable to complete the Online Graduate Application
( http://www.gradschool.umd.edu/admissions) , a PDF version of the Application and Instructions is available
from the Graduate School.
Thesis and Dissertation Style Guide: This manual contains the instructions for preparation of theses and
dissertations. It is available on the web at http://www.gradschool.umd.edu/etd.
World Wide Web: Visit the University of Maryland homepage, located at http://www.umd.edu . A vast
amount of information is available on-line from websites maintained by University offices. Most resources can
be accessed or linked through: The Graduate School: http://www.gradschool.umd.edu or through Testudo
(Administrative Services): http://www.testudo.umd.edu.
93
Chapter 20: Academic Resources in the College Park, MD Area
American Association of University Women
1111 Sixteenth St. N.W.
Washington, DC 20036
http://www.aauw.org/
American Council on Education's
Office of Women in Higher Education
One Dupont Circle NW
Washington, DC 20036
http://www.acenet.edu/programs/owhe/home.cfm
American Psychological Association
750 First Street, NE,
Washington, DC 20002-4242
http://www.apa.org
American Psychological Society
1010 Vermont Avenue, NW
Suite 1 100
Washington, DC 20005-4907
http://www.psvchologicalscience.org/
American Visionary Art Museum
800 Key Highway
Baltimore, MD 21230-3940
http://www.avam.org
Arena Stage 1 101
Sixth Street, SW
Washington, DC 20024
http://www.arenastage.org/
Air Force Office of Scientific Research
4015 Wilson Boulevard, Room 713
Arlington, VA 22203-1954
http://www.afosr.af.mil/
Army Aberdeen Test Center
STECS-AC
Aberdeen Proving Ground, MD 21005-5059
http://www.atc.army.mil
Army Center for Environmental Health Research
568 Doughten Drive
Fort Detrick, Maryland 21702-5010
http://usacehr.detrick.armv.mil/deptox/default.htm
Army CERDEC Night Vision and Electronic Sensors
10211 BurbeckRoad
Fort Belvoir, VA 22060-5806
http://www.nvl.army.mil/
Army Edgewood CB Center
AMSSB-RAS-C
5183 Blackhawk Road
Aberdeen Proving Ground, MD 21010-5424
http://www.federallabs.org/servlet/FLCltemDisplavServlct7wItemI
D=2003-09- 10- 11-27-41 -890-ltem
Army Institute for Water Resources
7701 Telegraph Road
Alexandria, VA 22315
http://www.iwr.usace.army.mil/
Army Medical Research and Development
MCMR-JA, Building 525
Fort Detrick, MD 21702-5012
http://www.federallabs.org/servlet/FLCLPRODisplayServlet7wLPR
OlD=1052
Army Medical Research Institute of Chemical
USAMRICD
ATTN MCMR-UV-ZM
3 100 Ricketts Point Road
Aberdeen Proving Ground, MD 21010-5400
https://ccc.apgea.army.mil/contact us.htm
Army Medical Research Institute of Infectious Diseases
MCMR-UIZ-D
1425 Porter Street
Frederick, MD 21702-501 1
http://www.usamriid.army.mil/
Army Research Institute for Behavioral and Social Sciences
2511 Jefferson Davis Highway
Arlington, VA 22202-3926
http://www.hqda.army.mil/ari/
Army Research Laboratory — APG Site
AMSRL-CS-TT
Building 433
Aberdeen Proving Ground, MD 21005-5425
http://www.arl.army.mil/main/Main/default.cfm
Army Research Laboratory — Weapons and Materials
Aberdeen Proving Ground, MD 21005-5059
http://www.arl.army.mil/wmrd/
Army Research Laboratory - Sensors, Signal
AMSRL-CS-TT
2800 Powder Mill Road
Adelphi, MD 20783-1197
Army Test & Evaluation Command
Public Affairs Office
US Army Test and Evaluation Command
4501 Ford Ave.
Alexandria, VA 22302-1458
http://www.atec.army.mil/index.htm
Audacity Laboratories
Central Intelligence Agency
13055 Park Crescent Circle
Herndon, VA 20171
http://www.federallabs.org/servlet/FLCLPRODisplavServlet7wLPR
OID=1107
Baltimore Museum of Art
1 Art Museum Drive
Baltimore, MD 21218-3898
http://artbma.org/home.html
The Brookings Institution
1775 Massachusetts Ave., NW
Washington, DC 20036
http://www.brook.edu/
Business and Professional Women's Foundation
1900 M Street, NW, Suite 310
Washington, D.C. 20036
http://www.bpwusa.org/
Central Intelligence Agency
Directorate of Science and Technology
http://www.cia.gov/cia/dst/home.html
Center for Hellenic Studies
3 100 Whitehaven Street, NW
Washington, DC 20008
http://www.chs.harvard.edu/
Center for Policy Alternatives
1875 Connecticut Avenue NW, Suite 710
94
Washington, DC 20009
http://www.cfpa.org/
Center for Women's Policy Studies
1211 Connecticut Avenue, NW, Suite 312
Washington, D.C. 20036
http://www.centerwomenpolicy.org/
Centers for Commercial Development of Space
300 E Street, S.W. Code CU
Washington, DC 20546
http://www.nasa.gov
The Contemporary Museum
100 W.Centre Street
Baltimore, Maryland 21201
http://www.contemporary.org
Corcoran Gallery
500 17th Street, NW
Washington, DC 20006
http://www.corcoran.org/
Council on Foreign Relations
1779 Massachusetts Avenue, N.W.
Washington, DC 20036
http://www.cfr.org/
David Taylor Research Center
2013 Admiral Melville Circle
Annapolis, MD 21402
Defense Advanced Research Project Agency (DARPA)
3701 North Fairfax Drive
Arlington, VA 22203-1714
http://www.daipa.mil/index.html
Defense Technical Information Center
8725 John J. Kingman Road
Fort Belvoir, VA 22060-6218
http://www.dtic.mil/
Dumbarton Oaks Library
1703 32nd Street, NW
Washington, D.C. 20007
http://www.doaks.org/
Federal Bureau of Investigation, FSRTC
Building 12 FBI Academy
Quantico, VA 22135
http://www.fbi.gov
Federal Theatre Project Archives
C-201 Fenwick Library at George Mason University
Fairfax, Virginia Campus
http://www.gmu.edu/library/specialcollections/federal.html
Feminist Majority Foundation
1600 Wilson Blvd. Suite 801
Arlington, VA 22209
http://www.feminist.org/
Folger Institute
201 East Capitol Street, SE
Washington, DC 20003-1094
http://www.folger.edu/institute/
Folger Shakespeare Library
201 East Capitol Street, SE
Washington, DC 20003-1094
http://www.folger.edu/Home_02B.html
Food and Drug Administration (FDA)
5600 Fishers Lane
Rockville, Maryland 20857
http://Zwww.fda.gov
Beltsville Agriculture Research Center (BARC)
10300 Baltimore Avenue
Beltsville, Maryland 20705
http://www.ba.ars.usda.gov/
FDA Center for Biologies Evaluation and Research
1401 Rockville Pike
Suite 200 N (HFM-40)
Rockville, MD 20852-1448
http://www.fda.gov/cber/
FDA Center for Devices & Radiological Health
FDA/CDRH/OCER/DSMICA (HFZ-220)
1350 Piccard Drive
Rockville, MD 20850-4307 U.S.A.
http://www.fda.gov/cdrh/
FDA Life Sciences Laboratory
5600 Fishers Lane
Rockville, MD 20857
FDA Center for Biologies Evaluation and Research
HSM-44
11400 Rockville Pike
Rockville, MD 20852
FDA Center for Veterinary Medicine
Communications Staff
7519 Standish Place, HFV-12
Rockville, Maryland 20855
http://www.fda.gov/cvm/default.html
FDA Center for Food Safety and Applied Nutrition
5 100 Paint Branch Parkway
College Park, MD 20740
http://www.cfsan.fda.gov/list.html
Ford's Theatre
511 10th Street, NW
Washington, DC 20004
http://www.fordstheatre.org/
Freer Gallery of Art and Arthur M. Sackler Gallery
Smithsonian Institution
P.O. Box 37012, MRC 707
Washington, D.C. 20013-7012
http://www.asia.si.edu/
General Federation of Women's Clubs
1734 N Street, NW
Washington, DC 20036
http://www.gfwc.org/
George Meany Center for Labor Studies
10000 New Hampshire Avenue
Silver Spring, MD 20903
http://www.georgemeany.org/
Hirshhorn Gallery and Sculpture Garden
PO Box 37012
Washington, DC 20013-7012
http://hirshhorn.si.edu/
Institute for Women's Policy Research
1707 L Street, NW, Suite 750
Washington, DC 20036
http://www.iwpr.org/
International Center for Research on Women
1717 Massachusetts Ave. NW
Suite 302
95
Washington, DC 20036
http://www.icrw.org/
International Monetary Fund
700 19 th St. NW
Washington, DC 20431
http://www.imf.org
John F. Kennedy Center for the Performing Arts
2700 F Street, NW
Washington, DC 20566
http://www.kennedy-center.org/
Johns Hopkins Applied Physics Laboratory
1 1 100 Johns Hopkins Road
Laurel, MD 20723-6099
http://www.jhuapl.edu/
Library of Congress
101 Independence Ave, SE
Washington, DC 20540
http://www.loc.gov
Marine Corps System Commands
2008 Elliot Road
Quantico,VA 22134-5030
http://www.hqmc.usmc.mil/hqmcmain.nsf/frontpage
The Maryland Science Center
601 Light Street
Baltimore, MD 21230
http://www.mdsci.org
Museum of African Art
Smithsonian Institution
MRC 708, P.O. Box 37012
Washington, D.C. 20013-7012
http://www.nmafa.si.edu/default.htm
National Aeronautics and Space Administration
Goddard Space Flight Center
Code 130, Office of Public Affairs
Greenbelt,MD 20771
http://www.gsfc.nasa.gov/
The National Aquarium in Baltimore
501 E.Pratt St.
Baltimore, MD 21202
http://www.aqua.org
National Archives and Records Administration
700 Pennsylvania Avenue, NW
Washington, DC 20408
http://www.archives.gov/
National Archives at College Park (Archives II)
8601 Adelphi Road
College Park, MD 20740-6001
http://www.archives.gov/facilities/md/archives_2.html
National Defense University
Fort Lesley J. McNair
Washington, DC 20319-5066
http://www.ndu.edu/
National Endowment for the Arts
1 100 Pennsylvania Ave. NW
Washington, DC 20506
http://www.nea.gov
National Endowment for the Humanities
1 100 Pennsylvania Ave. NW
Washington, DC 20506
http://www.neh.gov
National Gallery of Art
National Mall between Third and Seventh Streets at Constitution
Avenue, NW
http://www.nga.gov/
National Gallery's Center for the Advanced Study of Visual Arts
http://www.nga.gov/resources/casva.htm
National Geographic Society
1145 17th St. N.W.
Washington, DC 20036
http://www.nationalgeographic.com
National Geospatial Intelligence Agency
National Imaging and Mapping Agency
4600 Sangamore Road
Bethesda,MD 20816-5003
http://www.nima.mil/portal/site/nga01/
National Institutes of Health
9000 Rockville Pike
Bethesda, Maryland 20892
http://www.nih.gov/
National Institutes of Health
National Eye Institute
31 Center Drive MSC 2510
Bethesda, MD 20892-2510
http://www.nei.nih.gov/
National Institutes of Health
National Heart, Lung, and Blood Institute
Building 31, Room 5 A52
31 Center Drive MSC 2486
Bethesda, MD 20892
http://www.nhlbi.nih.gov/index.htm
National Institutes of Health
John E. Fogarty International Center
Building 31, Rm B2C29
31 Center Drive MSC 2220
Bethesda, MD 20892-2220
http://www.fic.nih.gov/
National Institutes of Health
National Cancer Institute
6116 Executive Blvd., Ste. 3036A
Bethesda, MD 20892-8322
http://www.nci.nih.gov/
National Institutes of Health
National Center for Complementary & Alternative Medicine
NCCAM Clearinghouse
P.O. Box 7923
Gaithersburg, MD 20898
http://nccam.nih.gov/
National Institutes of Health
National Center for Research Resources
One Democracy Plaza, 9th Floor
6701 Democracy Boulevard, MSC 4874
Bethesda, MD 20892-4874
http://www.ncrr.nih.gov/
National Institutes of Health
National Center on Minority Health & Health
6707 Democracy Blvd., Suite 800
MSC-5465
Bethesda, MD 20892-5465
http://www.ncmhd.nih.gov/
National Institutes of Health
National Human Genome Research Institute
Building 31, Room 4B09
31 Center Drive, MSC 2152
96
9000 Rockville Pike
Bethesda, MD 20892-2152
http://www.genome.gov/
National Institutes of Health
National Institute of Allergy & Infectious Diseases
NIAID Office of Communications & Public Liaison
6610 Rockledge Drive, MSC 6612
Bethesda, MD 20892-6612
http://www.niaid.nih.gov/default.htm
National Institutes of Health
National Institute of Allergy Arthritis & Musculosketal & Skin
Diseases
Information Clearinghouse
National Institutes of Health
1 AMS Circle
Bethesda, Maryland 20892-3675
http://www.niams.nih.gov/index.htm
National Institutes of Health
National Institute of Biomedical Imaging & Bioengineering
6707 Democracy Blvd., Suite 202
Bethesda, MD 20892-5477
http://www.nibib.nih.gov/
National Institutes of Health
National Institute of Child Health & Human Development
P.O. Box 3006
Rockville, MD 20847
http://www.nichd.nih.gov/default.htm
National Institutes of Health
National Institute of Dental & Craniofacial Research
Bethesda, MD 20892-2190
http://www.nidcr.nih.gov/
National Institutes of Health
National Institute of Diabetes & Digestive & Kidney Diseases
Office of Communications and Public Liaison
NIDDK, NIH, Building 31, room 9A04
Center Drive, MSC 2560
Bethesda, MD 20892-2560
http://www.niddk.nih.gov/index.htm
National Institutes of Health
National Institute of General Medical Sciences
45 Center Drive MSC 6200
Bethesda, MD 20892-6200
http://www.nigms.nih.gov/
National Institute of Mental Health
Office of Communications
6001 Executive Boulevard, Room 8184, MSC 9663
Bethesda, MD 20892-9663
http://www.nimh.nih.gov/nimhhome/index.cfm
National Institutes of Health
National Institute of Nursing Research
31 Center Drive, Room 5B-10
Bethesda, MD 20892-2178
http://ninr.nih.gov/ninr/index.html
National Institutes of Health
National Institute on Aging
Building 31, Room 5C27
31 Center Drive, MSC 2292
Bethesda, MD 20892
http://www.nia.nih.gov/
National Institutes of Health
National Institute on Alcohol Abuse & Alcoholism
5635 Fishers Lane, MSC 9304
Bethesda, Maryland 20892-9304
http://www.niaaa.nih.gov/
National Institutes of Health
National Institute on Deafness & Other Communication Disorders
3 1 Center Drive, MSC 2320
Bethesda, MD USA 20892-2320
http://www.nidcd.nih.gov/
National Institutes of Health
National Institute on Drug Abuse
6001 Executive Boulevard, Room 5213
Bethesda, MD 20892-9561
http://www.drugabuse.gov/NIDAHome.html
National Institutes of Health
National Institute on Environmental Health Sciences
Building 31, Room B1C02
31 Center Drive MSC 2256
Bethesda, MD USA 20892
http://www.niehs.nih.gov/home.htm
National Institutes of Health
National Library of Medicine
8600 Rockville Pike
Bethesda, MD 20894
http://www.nlm.nih.gov/
National Institutes of Health
Center for Information Technology
10401 Fern wood Road
Bethesda, Maryland 20817
http://www.cit.nih.gOv/home.asp#
National Institutes of Health
Center for Scientific Review
6701 Rockledge Drive
Bethesda, MD 20892
http://www.drg.nih.gov/
National Institutes of Health
Office of AIDS Research
Building 2, Room 4W13
Bethesda, MD 20892
http://www.nih.gov/od/oar/
National Institutes of Health
Office of Research on Women's Health
http://www4.od.nih.gov/orwh/
National Institutes of Health
Warren Grant Magnuson Clinical Center
6100 Executive Boulevard, Suite 3001
Bethesda, MD 20892-7511
http://www.nih.gov/about/almanac/organization/CC.htm
National Institute of Standards and Technology (NIST)
100 Bureau Drive, Stop 3460
Gaithersburg, MD 20899-3460
http://www.nist.gov/
Building and Fire Research Laboratory
NIST
100 Bureau Drive, Stop 8600
Gaithersburg, MD 20899-8600
http://www.bfrl.nist.gov/
Chemical Science & Technology Laboratory
NIST
100 Bureau Drive, Stop 8300
Gaithersburg, MD 20899-8300
http://www.cstl.nist.gov/
Electronics & Electrical Engineering Laboratory
NIST
100 Bureau Drive, M/S 8100
97
Gaithersburg, MD 20899-81 10
http://www.eeel.nist.gov/
Fire Research Laboratory
NIST
100 Bureau Drive, Stop 8600
Gaithersburg, MD 20899-8600
http://www.bfrl.nist.gov/866/frd.htm
Information Technology Laboratory
NIST
100 Bureau Drive, Stop 8900
Gaithersburg, MD 20899-8900
http://www.itl.nist.gov/
Manufacturing Engineering Laboratory
NIST
100 Bureau Drive, Stop 8200
Gaithersburg, MD 20899-8200
http://www.mel.nist.gov/
Materials Science & Engineering Laboratory
NIST
100 Bureau Drive, Stop 8500
Gaithersburg, MD 20899-8500
http://www.msel.nist.gov/
NIST Technology Service
100 Bureau Drive, Stop 200
Gaithersburg, MD 20899-2000
http://ts.nist.gov/
Physics Laboratory
NIST
100 Bureau Drive, Stop 8400
Gaithersburg, MD 20899-8400
http://physics.nist.gov/
National Museum of Women in the Arts
1250 New York Avenue, N.W.
Washington, DC 20005-3970
http://www.nmwa.org/
National Oceanographic and Atmospheric Administration (NOAA)
14th Street & Constitution Avenue, NW
Room 6217
Washington, DC 20230
http://www.noaa.gov
NOAA
Center for Coastal Monitoring & Assessment
1305 East-West Highway, Room 10110
Silver Spring, MD 20910
http://ccma.nos.noaa.gov/welcome.html
NOAA
Center for Operational Oceanographic Products & Services
1305 East-West Highway
Silver Spring, MD 20910-3281
http://co-ops.nos.noaa.gov/
NOAA
Chesapeake Bay Office
410 Severn Ave, Suite 107
Annapolis, MD 21403
http://noaa.chesapeakebay.net/
NOAA
Cooperative Oxford Laboratory
904 South Morris Street
Oxford, MD 21654-1323
http://www.chbr.noaa.gov/CooperativeOxfordLaboratorv.html
NOAA
National Centers for Coastal Ocean Science
1305 East-West Highway, Room 13501
Silver Spring, MD 20910
http://www.nccos.noaa.gov/
NOAA
National Centers for Environmental Prediction
5200 Auth Road
Camp Springs, MD 20746
http://www.ncep.noaa.gov/
NOAA
National Environmental Satellite, Data and Information Service
1335 East-West Highway, SSMC1, Room 7216
Silver Spring, MD 20910
http://www.nesdis.noaa.gov/
NOAA
National Weather Service
1325 East- West Highway
Silver Spring, MD 20910
http://www.nws.noaa.gov/
NOAA
Office of Global Programs
14th and Constitution Avenue N.W.
Washington, D.C. 20230
http://www.ogp.noaa.gov/
NOAA
Undersea Research Program
1315 East- West Highway
Silver Spring, MD 20910
http://www.nurp.noaa.gov/
NOAA
Office of Coast Survey
1315 East- West Highway
Silver Spring, MD 20910-3282
http://chartmaker.ncd.noaa.gov/
NOAA
Office of Research and Technology Applications
1335 East-West Highway, SSMC-1, Room 106
Silver Spring, MD 20910-3284
http://www.oarhq.noaa.gov/OSS ORTA.html
NOAA
Air Resources Laboratory
1315 East- West Highway
Silver Spring, MD 20910
http://www.arl.noaa.gov/
National Organization for Women
1 100 H St NW, 3rd floor
Washington, D.C. 20005
http://www.now.org/index.html
National Reconnaissance Office
14675 Lee Road
Chantilly, V A 20151-1715
http://www.nro.gov/
National Science Foundation
4201 Wilson Boulevard
Arlington, VA 22230
http://www.nsf.gov/
National Theatre
The National Theatre
1321 Pennsylvania Ave NW
Washington DC 20004
http://www.nationaltheatre.org/
National Women's Law Center
1 1 Dupont Circle, NW, #800
98
Washington, D.C. 20036
http://www.nwlc.org/
The Nature Conservancy
4245 North Fairfax Drive, Suite 100
Arlington, VA 22203-1606
http://www.nature.org
Naval Air Warfare Center — Aircraft Division
Business Development Team
Bldg 304, Unit 10
22541 Millstone Road
Patuxent River, MD 20670-5304
http://www.nawcad.navy.mil/index.cfm
Naval Explosive Ordnance Disposal Technology
Code 50
2008 Stump Neck Road
Indian Head, MD 20640-5070
https://naveodtechdiv.navsea.navy.mil/
Science, Engineering
Naval Information Warfare Activity (NIWA)
Fort Meade, MD
http://www.fas.org/iip/agency/navsecgru/niwa/
Naval Medical Research Center
503 Robert Grant Avenue
Silver Spring, Maryland 20910
http://www.nmrc.navy.mil/
Naval Research Laboratory
4555 Overlook Avenue, SW
Washington, DC 20375
http://www.nrl.navy.mil/
Naval Sea Systems Command
1333 Isaac Hull Avenue, SE
Washington Navy Yard, DC 20376
http://www.navsea.navy.mil/
Naval Surface Warfare Center — Carderock Division
9500 MacArthur Blvd.
West Bethesda, MD 20817-5700
http://www.dt.navy.mil/
Naval Surface Warfare Center — Indian Head
101 Strauss Avenue
Indian Head, MD 20640-5035
http://www.ih.navy.mil/
Naval Surface Warfare Center — Dahlgren Laboratory
17320 Dahlgren Road
Dahlgren, VA 22448-5100
http://www.nswc.navy.mil/
Nuclear Regulatory Commission
U.S. Nuclear Regulatory Commission, Office of Public Affairs
Washington, D.C. 20555
http://www.nrc.gov/
Office of Naval Research
800 North Quincy Street
Arlington, VA 22217-5660
http://www.onr.navy.mil/default.asp
Olney Theatre Center
2001 Olney-Sandy Spring Road
Olney, MD 20832
http://www.olnevtheatre.org/
Phillips Collection
1600 21st Street, NW
Washington, DC 20009
http://www.phillipscollection.org/
The Rand Corporation
Washington Office
Bruce Hoffman, Director
1200 South Hayes Street
Arlington VA 22202-5050
http://www.rand.org
Shakespeare Theatre at the Lansburgh
450 7th Street NW
Washington, DC 20004-2207
http://www.shakespearedc.org/
Smithsonian Institution
PO Box 37012
SI Building, Room 153, MRC 010
Washington, D.C. 20013-7012
http://www.si.edu
Uniformed Services University of Health Sciences
4301 Jones Bridge Road
Bethesda, MD 20814
http://www.usuhs.mil/
U.S. Bureau of the Census
4700 Silver Hill Road
Washington DC 20233-0001
http://www.census.gov/
U.S. Department of Agriculture
1400 Independence Avenue
S.W. Washington, D.C. 20250
http://www.usda.gov/wps/portal/usdahome
USDA - -Extension Service
6707 Groveton Drive
Clinton, MD 20735
http://www.csrees.usda.gov/qlinks/extension/html
U.S. Department of Commerce
14th and Constitution Avenue N.W.
Washington, D.C. 20230
http://www.commerce.gov/
U.S. Department of Defense
1400 Defense Pentagon
Washington, DC 20301-1400
http://www.defenselink.mil/
U.S. Department of Education
400 Maryland Avenue, S.W.
Washington, D.C. 20202
http://www.ed.gov/index.jhtml
U.S. Department of Energy
1000 Independence Avenue, S.W.
Washington, D.C. 20585
http://www.energy.gov/engine/content.do
U.S. Department of Health and Human Services
200 Independence Avenue, S.W.
Washington, D.C. 20201
http://www.hhs.gov/
U.S. Department of Homeland Security
Washington, D.C. 20528
http://www.dhs.gov/dhspublic/
U.S. Department of Housing and Urban Development
451 7th Street S.W.
Washington, D.C. 20410
http://www.hud.gov/
U.S. Department of the Interior
1849 C Street, N.W.
99
Washington, D.C. 20240
http://www.doi.gov/
U.S. Department of Justice
950 Pennsylvania Avenue, N.W.
Washington, D.C. 20530-0001
http://www.usdoj.gov/
U.S. Department of Labor
Frances Perkins Building
200 Constitution Avenue, N.W.
Washington, D.C. 20210
http://www.dol.gov/
U.S. Department of State
2201 C Street, N.W.
Washington, D.C. 20520
http://www.state.gov/
U.S. Department of Transportation
400 7th Street, S.W.
Washington, D.C. 20590
http://www.dot.gov/
U.S. Department of the Treasury
1500 Pennsylvania Avenue, N.W.
Washington, D.C. 20220
http://www.ustreas.gov/
U.S. Department of Veterans Affairs
810 Vermont Avenue, N.W.
Washington, D.C. 20420
http://www.va.gov/
U.S. Geological Survey
12201 Sunrise Valley Drive
Reston, VA 20192
http://www.usgs.gov/
U.S. Holocaust Memorial Museum
100 Raoul Wallenberg Place, SW
Washington, DC 20024-2126
http://www.ushmm.org/
United States Naval Academy
121 Blake Road
Annapolis, MD 21402-5000
http://www.usna.edu/
U.S. Naval Observatory
Massachusetts Avenue at 34th Street, NW
Washington, DC
http://www.usno.navy.mil/
Walter Reed Army Institute of Research
503 Robert Grant Ave
Silver Spring, MD. 20910
http://wrair-www.army.mil/default.asp
Walter Reed Army Medical Center
6900 Georgia Avenue, NW
Washington, DC 20307
http://www.wramc.amedd.army.mil
Walter's Art Museum
600 North Charles Street
Baltimore, MD 21201
http://www.thewalters.org/html/home.asp
Wolf Trap Farm Park
1645 Trap Road
Vienna, Virginia 22182
http://www.wolf-trap.org/
Women's Research and Education Institute
1750 New York Avenue, NW
Suite 350
Washington, DC 20006
http://www.wrei.org/
World Wildlife Fund
1250 24th Street, NW
Washington, DC 20037
http://www.worldwildlife.org/
World Bank
1818 H Street, N.W.
Washington, DC 20433 U.S.A.
http://www.worldbank.org
Colleges and Universities in the Baltimore-Washington
Metropolitan Area
American University
Bowie State University
Catholic University of America
College of Notre Dame of Maryland
Coppin State College
Frostburg State University
Gallaudet University
George Mason University
George Washington University
Georgetown University
Goucher College
Hood College
Howard University
Johns Hopkins University
Joint Military Intelligence College
Lo yola College
Maryland Institute College of Art
Marymount University
Morgan State University
Mount St. Mary's College
National Defense University
Southeastern University
St. John's College
St. Mary's College of Maryland
Towson University
Trinity University
Uniformed Services University of the Health Sciences
United States Naval Academy
University of Baltimore
University of the District of Columbia
University of Maryland at Baltimore
University of Maryland Baltimore County
University of Maryland Eastern Shore
University of Maryland University College
100
Appendices
In addition to the policies included within the Graduate Catalog, information about the following
topics can be found using the URL's included in the list below.
Policy for Student Residency Classification for Admission, Tuition and Charge-Differential Purposes
Graduate Catalog reference:
http://www.gradschool.umd.edu/catalog/admssions policies.htm
UM Policy is found at:
Residency Classification Office
http://www.testudo.umd.edU/rco/policy.html#policv
Academic Integrity
Graduate Catalog reference:
http://www.gradschool.umd.edu/catalog/academic record. htm#2
UM Policy is found at:
III-1.00 POLICY ON FACULTY, STUDENT AND INSTITUTIONAL RIGHTS AND RESPONSIBILITIES
FOR ACADEMIC INTEGRITY
http://www.usmh.usmd.edu/regents/bylaws/SectionIII/III100.html
III- 1.00(A) UMCP CODE OF ACADEMIC INTEGRITY
http://president.umd.edu/policies/iiilOOa.html
Code of Student Conduct and Annotations
Graduate Catalog reference:
http://www.gradschool.umd.edu/catalog/academic record.htm#4
UM Policy is found at:
University of Maryland Policies and Procedures, Office of Legal Affairs
V-l. 00(B) UMCP CODE OF STUDENT CONDUCT
http://www.president.umd.edu/policies/vlOOb.html
Human Relations Code
Graduate Catalog reference:
http://www.gradschool.umd.edU/catalog/introduction.htm#5
University of Maryland Policies and Procedures, Office of Legal Affairs
http://www.ohrp.umd.edu/compliance/hrc/intro.html .
UM Policy is found at:
VI- 1.00(B) UNIVERSITY OF MARYLAND HUMAN RELATIONS CODE
http://www.president.umd.edu/policies/vilOOb.html
Campus Policy and Procedures on Sexual Harassment
Graduate Catalog reference:
http://www.gradschool.umd.edu/catalog/assistantship policies.htm
UM Policy is found at:
VI- 1.20 UNIVERSITY OF MARYLAND SYSTEM POLICY ON SEXUAL HARASSMENT
http://www.usmh.usmd.edu/regents/bylaws/SectionVI/VI120.html
VI- 1. 20(A) UNIVERSITY OF MARYLAND POLICY AND PROCEDURES ON SEXUAL HARASSMENT
http://www.president.umd.edu/policies/vil20a.html
VI-1.30 UNIVERSITY OF MARYLAND SYSTEM POLICY ON SEXUAL ASSAULT
http://www.usmh.usmd.edu/regents/bylaws/SectionVI/VI130.html
VI- 1. 30(A) UMCP PROCEDURES ON SEXUAL ASSAULT
http://www.president.umd.edu/policies/vil30a.html
102
UMCP Graduate Policy and Procedures for Review of Alleged Arbitrary and Capricious Grading
Graduate Catalog reference:
http://www.gradschool.umd.edu/catalog/other academic policies.htm
UM Policy is found at:
III- 1.20 POLICY FOR REVIEW OF ALLEGED ARBITRARY AND CAPRICIOUS GRADING
http://www.usmh.usmd.edu/regents/bylaws/SectionIII/III120.html
III- 1.20(A) UMCP PROCEDURES FOR REVIEW OF ALLEGED ARBITRARY AND CAPRICIOUS
GRADING-GRADUATE STUDENTS
http://www.president.umd.edu/policies/iiil20a.html
PROCEDURE GUIDE FOR CONDUCTING APPEALS OF ALLEGED ARBITRARY AND CAPRICIOUS
GRADING OF DOCTORAL QUALIFYING EXAMINATIONS
http://www.gradschool.umd.edu/catalog/other academic policies.htm
University of Maryland at College Park Policy on Copyrights and Patents
Graduate Catalog reference:
http://www.gradschool.umd.edu/catalog/masters degree policies.htm
http://www.gradschool.umd.edu/catalog/doctoral degree policies. htm#7
UM Policy is found at:
IV-2.20 POLICY ON CLASSIFIED AND PROPRIETARY WORK
http://www.usmh.usmd.edu/regents/bylaws/SectionIV/IV220.html
IV-3.00 POLICY ON PATENTS
http://www.usmh.usmd.edu/regents/bylaws/SectionIV/IV300.html
IV-3. 00(A) UMCP PROCEDURES ON PATENT AND TECHNOLOGY TRANSFER
http://www.president.umd.edu/policies/iv300a.html
103
IV-3.10 POLICY ON COPYRIGHTS
http://www.usmh.usmd.edu/regents/bylaws/SectionIV/IV310.html
Class Exercises That Involve Animals
http://www.testudo.umd.edu/soc/animal.html
UM Policy is found at:
www.umresearch.umd.edu/IACUC
Animal Care and Use Program
UM Policy is found at:
www.umresearch.umd.edu/IACUC
Research Involving Human Subjects
Graduate Catalog reference:
http://www.gradschool.umd.edu/catalog/masters degree policies. htm#9
http://www.gradschool.umd.edu/catalog/doctoral degree policies.htm
UM Policy is found at:
IV-2.10 UNIVERSITY OF MARYLAND SYSTEM POLICY ON HUMAN SUBJECTS OF RESEARCH
http://www.usmh.usmd.edu/regents/bylaws/SectionIV/IV210.html
Guidelines for Combined Bachelor's/Master's Programs
Graduate Catalog reference:
http://www.gradschool.umd.edu/catalog/combined programs.htm
UM Policy is found at:
http://www.president.umd.edu/policies/iii220a.html
104
III-2.20(A) UMCP POLICY AND GUIDELINES FOR COMBINED BACHELOR'S/MASTERS PROGRAMS
http://www.usmh.usmd.edu/regents/bylaws/SectionIII/III220.html
Inter-Institutional Registration
Graduate Catalog reference:
http://www.gradschool.umd.edu/catalog/registration policies.htm
UM Policy is found at:
III-2.41 POLICY ON GRADUATE STUDENT INTER-INSTITUTIONAL REGISTRATION
http://www.usmh.usmd.edu/regents/bvlaws/SectionIII/III241.html
University Policy on Disclosure of Student Records
UM Policy is found at:
III-6.30 POLICY ON CONFIDENTIALITY AND DISCLOSURE OF STUDENT RECORDS
http://www.president.umd.edu/policies/iii630.html
III-6.30(A) UMCP POLICY AND PROCEDURES ON THE DISCLOSURE OF STUDENT EDUCATION
RECORDS
http://www.president.umd.edu/policies/iii630a.html
Immunization Policy
Graduate Catalog reference:
http://www.gradschool.umd.edu/catalog/admssions policies.htm
UM Policy is found at:
V- 1.00(H) UMCP IMMUNIZATION POLICY
http://www.president.umd.edu/policies/vlOOh.html
105
Policy on Student Alcohol and Other Drug Abuse
UM Policy is found at:
VI-8.00(B) UMCP POLICY ON STUDENT ALCOHOL AND OTHER DRUG ABUSE
http://www.president.umd.edu/policies/vi800b.html
Smoking Policy and Guidelines
UM Policy is found at:
X-5. 00(A) UMCP SMOKING POLICY AND GUIDELINE
http://www.president.umd.edu/policies/x500a.html
106
Chapter 21: Graduate Programs
Agricultural and Resource Economics (AREC)
Abstract
The Department offers both M.S. and Ph.D. degrees from one of the nation's premier graduate programs in agricultural
and resource economics. Both programs focus on the application of advanced microeconomic theory and econometrics
to issues in agricultural economics, environmental and resource economics, and development economics. Courses are
taught by leading researchers in those fields, who combine rigorous scholarship with extensive policy experience. The
Department's faculty includes internationally prominent scholars in agricultural, environmental and resource, and
development economics. In recognition of their research, Department faculty members have received such international
awards as Germany's Alexander von Humboldt Prize, the American Economic Association's John Bates Clark Medal,
and the Agricultural and Applied Economics Association's Quality of Research Discovery and Publication of Enduring
Quality Awards, among others. Several have been elected fellows of such professional associations as the Agricultural
and Applied Economics Association (formerly the American Agricultural Economics Association), the Association of
Environmental and Resource Economics, the Econometric Society, and the American Statistical Association.
Department faculty members have served as presidents of the Agricultural and Applied Economics Association and
Association of Environmental and Resource Economists and as editors/associate editors of the American Journal of
Agricultural Economics, the Journal of Environmental Economics and Management, the Journal of Public Economics,
and Environment and Development Economics, among others. One faculty member is currently a research fellow of the
National Bureau of Economic Research. For additional Department highlights, please visit
http://www.agnr.umd.edu/Academics/departments/AREC/Academics/index.cfm. The policy experience of the
Department's faculty equals its scholarship in both quality and extent. Three have served on the staff of the President's
Council of Economic Advisers. Other policy experience includes service as consultants to agencies and organizations
like the U.S. Department of Justice, the U.S. Department of Agriculture, the Environmental Protection Agency, the
World Bank, and the Inter-American Development Bank. The University's location in the Washington, D.C., area
provides numerous opportunities for interaction with the World Bank, International Food Policy Research Institute,
Resources for the Future, International Monetary Fund, U.S. Department of Agriculture, U.S. Environmental Protection
Agency, U.S. Agency for International Development, Food and Drug Administration, Inter-American Development Bank,
Census Bureau, and a host of other such institutions and organizations. Questions about the Department's graduate
programs should be directed to the Graduate Coordinator at graduateprogram@arec.umd.edu or 301 -405-1293.
Admissions Information
At a minimum, students entering either our M.S. or Ph.D. program are expected to have the following preparation:
• Knowledge of macroeconomic theory at the intermediate level and microeconomic theory at the advanced level.
• Knowledge of multivariate calculus and linear algebra.
• Knowledge of elementary statistical methods. The Graduate Record Examination (GRE) scores, transcripts for all
higher education, and three letters of recommendation are required with the application for admission. Part-time
graduate study is not encouraged because no courses are taught in the evenings. Transfer from M.S. to Ph.D. Program
Students enrolled in the Department's M.S. program may apply for admission to the Department's Ph.D. program by
submitting a new Graduate School application, supplemental transcripts, and three letters of recommendation. The
Graduate School application fee is waived if the student applies for the Ph.D. program in or before the semester in
which the M.S. degree will be completed. Students within the Department's M.S. program need not submit GRE's when
applying for the Ph.D. program.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 15
Preferred: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Preferred: January 15
Application Requirements
We normally admit M.S. and Ph.D. students for the fall semester only, since the first-year program consists of course
sequences that begin only in the fall. Application for admission to both the Department's M.S. and Ph.D. programs is
made through the Graduate School. In addition to the completed application form, the Graduate School requires and
admission decisions depend on:
• Graduate Record Examination (GRE) scores;
• One copy of the transcript of record from all institutions attended since high school
• Three letters of recommendation; and
• Statement of purpose. Students from non-English-speaking countries are required to demonstrate English proficiency
by providing scores from the Test of English as a Foreign Language (TOEFL) and Test of Written English (TWE).
107
Degree Requirements
Master of Science (M.S.)
The M.S. program trains students to conduct economic research in the fields of agricultural economics, environmental
and resource economics, and development economics. It provides rigorous training in microeconomic theory and
econometrics and in the application of microeconomics and econometrics to policy issues. Students completing their
MS degrees go on to work in U.S. government agencies, international organizations, and consulting firms. The M.S.
program requires a minimum of 33 credits of coursework (i.e., 16 credits of electives in addition to the 17 credits of
required coursework) and defense of a scholarly paper. No M.S. thesis is required. Required courses for the M.S.
program consist of basic coursework in microeconomic theory and econometrics:
• The first semester of the sequence in microeconomic theory (ECON 603).
• A two-semester sequence in applied econometrics (AREC 623 and 624).
• A one-semester course on mathematical methods (AREC 620).
• A one-semester course on applications of microeconomic theory to agricultural and resource economics (AREC 610).
The first-year coursework normally includes these 17 credits (3 credits each for ECON 603, AREC 620, AREC 610 plus
4 credits each for AREC 623 and AREC 624). M.S. students fulfill additional coursework requirements by taking
electives to suit their own interests during their second year. Elective courses are normally selected from M.S. level
courses (600 level or above) in AREC or ECON but may be taken in other disciplines with adviser approval. For
detailed information on the scholarly paper, see "Doctor of Philosophy" section below.
Doctor of Philosophy (Ph.D.)
The Ph.D. program trains students as professional research economists in the fields of agricultural economics,
environmental and resource economics, and development economics. Students learn to disseminate research results in
major professional media including journals, reports, conferences, and seminars. Rigorous training is provided in
microeconomic theory, econometrics, and their application to policy issues. Students completing their Ph.D. degrees
find employment in academia, U.S. government agencies, international organizations, and consulting firms.
Requirements for the Ph.D. degree include a minimum of 42 credits of coursework, completion of a two-course field in
one of the Department's three major areas, completion of a research paper requirement, development and defense of a
dissertation prospectus, 12 credits of Ph.D. dissertation research (AREC 899), and successful defense of a Ph.D.
dissertation. The first year of the program consists of the following core courses in microeconomic theory,
econometrics, and mathematical methods: AREC 610, AREC 620, AREC 623, AREC 624, ECON 603, and ECON 604.
The second year of the program consists mainly of elective field coursework. All Ph.D. students are required to
complete one two-course field out of the following: Agricultural Policy (AREC 825, AREC 832), Development
Economics (AREC 845, AREC 846), Environmental and Resource Economics (AREC 785, ECON 781). Four additional
3-credit PhD-level field courses are required; at least two from courses offered by the Department with the remainder
from courses offered by Economics or another supporting department on campus with adviser approval. During the
spring semester of their second year, students also take a 1 -credit course intended to help them develop a written
dissertation proposal (AREC 869K). The final course requirement is AREC 869P, Advanced Topics in Agricultural
Economics (3 credits), which consists of more intensive preparation for writing a dissertation prospectus. It is normally
taken during the fall semester of the third year. This requirement is waived for any student who has completed a
dissertation prospectus and passed a prospectus examination before the fall semester of the third year. The writing of a
research paper is required during the first year and a half of the graduate program. The paper allows students to
engage in original research early in their graduate education. Students who do not pass following the initial submission
may revise and resubmit their papers in response to comments they receive. A student who is unable to achieve a
Ph.D. pass on the paper requirement after two attempts is not permitted to continue in the Ph.D. program. For more
information about the research paper, see
http://www.arec.umd.edu/Academics/Graduate/PhDProgram/ResearchPaper.cfm. Admission to candidacy for the Ph.D.
degree requires:
• A "B" or better (including "B-") in each of the first-year courses.
• A B (3.0) average or better in graduate coursework,
• Passing the research paper requirement, and
• Having an approved Ph.D. dissertation prospectus. The prospectus presents the student's dissertation proposal,
including a topic, background, literature review, and proposed methodology. It is prepared under the guidance of and
must be approved by a three-person core committee headed by the thesis advisor and appointed by the Director of
Graduate Studies.
Facilities and Special Resources
The AREC Department provides a 1 5-seat computer lab for the exclusive use of our graduate students. The lab is
available 24 hours a day, 365 days a year. Another 25-seat lab is available by reservation for classes, presentations,
and research (e.g., experimental economics sessions). These labs are equipped with Pano Logic zero (aka thin) client
devices that connect end users to desktop virtual machines. This solution allows graduate students the ability to
remotely access a virtual desktop with all the applications listed below, as well as their files stored on the network
servers. The following applications are available at this time: Arclnfo, Filezilla (FTP Client), Fortran, Google Earth,
Limdep, Maple, Mathematica, Matlab, Mozilla Firefox, MS Office 2007, Nlogit, Perl, R, SAS, Scientific Word, Stata,
TextPad, and WinEdt. Graduate students can access the AREC network and Internet from home via several remote
108
access methods. A multifunction printer/scanner/copier is available in the graduate student computer lab. Wireless
access is available to the campus network. The Department offers close proximity to an incomparable array of
government agencies, international institutions, and non-governmental organizations devoted to environmental issues,
agricultural policy, natural resource management, and international development. Opportunities for attending
stimulating seminars abound. Many students find useful work experience, access to data, and cutting-edge thesis
topics as well as future employment through these organizations. These include (all within approximately 10 miles) the
U.S. Environmental Protection Agency, the U.S. Department of Agriculture, and U.S. Economic Research Service, the
U.S. Food and Drug Administration, Resources for the Future, the Joint Institute for Food Science and Nutrition, the
Joint Global Change Research Institute, the National Center for Smart Growth Research and Education, the National
Oceanic and Atmospheric Administration, the World Bank, the Inter-American Development Bank, the International
Food Policy Research Institute, the Beltsville Agricultural Research Center with its National Agricultural Library, as well
as the U.S. Capitol, Senate, and House of Representatives.
Financial Assistance
Graduate assistantships are offered to qualified applicants on the basis of past academic performance, research
potential, and availability of funds. Many full-time students in the Department hold assistantships or some other form of
financial aid. Part- time and summer work are sometimes available for students who do not have assistantships.
Graduate fellowships are also available on a competitive basis. The Department offers financial assistance in the form
of graduate assistantships and fellowships. To apply, use the form for requesting financial assistance included in the
Graduate School application packet. Graduate Assistantships Many of our students are supported by graduate
assistantships with responsibilities for either research or teaching. Graduate assistants are expected to work an
average of 20 hours a week on their research or teaching duties. They must maintain at least a B average. They are
considered employees of the University and are thus covered by health insurance. In addition to a competitive salary,
graduate assistants receive tuition remission for up to 10 credits in the fall and spring semesters and up to 4 credits
each summer semester. Fellowships The Department awards a limited number of fellowships each year to highly
qualified applicants. Annual fellowship stipends are highly competitive. Fellowship awards also include tuition remission
of up to twelve credits per semester. Fellowships are awarded to Ph.D. students for two (2) years and M.S. students for
one (1 ) year. After the expiration of the fellowship, the Department expects to provide Ph.D. fellowship recipients with
an additional two years of support (and M.S. fellowship recipients with an additional year of support) as a graduate
assistant subject to satisfactory academic progress. All applicants for financial aid are automatically considered for
fellowships as well as assistantships. Financial assistance in the form of loans and work study may also be available.
Interested students should contact the University's Office of Student Financial Aid.
Contact Information
The AREC Graduate Program website at http://www.arec.umd.edu/academics/graduate/index.cfm provides course
requirements, examination procedures, and descriptive material for the M.S. and Ph.D. programs.
Graduate Program
Agricultural and Resource Economics 2200 Symons Hall
University of Maryland
College Park, MD 20742
Telephone: (301) 405-1293
graduateprogram@arec.umd.edu
http://www.arec.umd.edu/
Courses: AREC AREC
American Studies (AMST)
Abstract
American Studies offers an interdisciplinary program of study leading to the Masters of Arts and the Doctor of
Philosophy degrees. Research and teaching in the Department focus on two intellectual themes: the cultures of
everyday life, and cultural constructions of identity and difference. These themes drive our examinations of multiple
cultures within the U.S., across the Americas, and transnational^. They also embrace multiple cultural studies interests,
including material and visual culture, ethnography and life history, popular culture and media studies, queer studies,
body and sexualities, gender studies, food studies, digital cultures, critical race studies, and cultural landscapes and
geography. Students develop expertise in multiple methodologies and take courses in many departments across the
University. The Department benefits from a large and diverse affiliate faculty, strong relationships with cultural
institutions such as the Smithsonian museums, and ready access to many other museums in the Baltimore-Washington
corridor, government agencies, archives and historical societies, and multiple local communities. Students may also
take advantage of multiple graduate certificate programs for which our courses apply, including Museum Scholarship
and Material Culture, Critical Theory, Historic Preservation, and Womens Studies. The program in U.S. Latina/o Studies
is contained within the Department, and we have a leadership role in developing Native American Studies.
Admissions Information
Many admitted students have previously majored in American Studies, History, English, Ethnic Studies, Women's
Studies, Anthropology, Art or Architectural History, Journalism, and Communications. However, applicants with broad
backgrounds in arts and humanities and/or the behavioral and social sciences are also given serious consideration if
109
American subject matter or cultural theory has been emphasized. Application requirements for both M.A. and Ph.D.
programs include: 1) Graduate School application, 2) statement of purpose (including research interests), 3) three
letters of recommendation, 4) official academic transcripts for all undergraduate and graduate work, 5) GRE scores, 6)
a writing sample, and 7) a resume or Curriculum Vitae. International applicants must also submit TOEFL scores.
Applicants who do not yet have M.A. degrees and who desire to obtain the Ph. D. degree at Maryland should apply
directly to the Ph.D. program.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: December 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 15
Application Requirements
1 . Graduate School application
2. Statement of purpose, including research interests
3. 3 letters of recommendation
4. Official transcripts of all undergraduate and graduate work
5. GRE scores
6. Writing sample
7. Resume or Curriculum Vitae
Degree Requirements
Master of Arts (M.A.)
Students take a total of 30 credits of course work in American Studies and related disciplines and demonstrate the
ability to conduct independent research by submitting an acceptable thesis or a scholarly paper in lieu of a thesis.
Doctor of Philosophy (Ph.D.)
Ph. D. students complete at least 30 credit hours that are organized around two areas of specialization. Students must
also pass three comprehensive examinations, and, after submitting a detailed prospectus, write and defend an
interdisciplinary dissertation that answers significant questions about Americans' culture(s) and experiences, past or
present.
Facilities and Special Resources
The Washington, D.C. and Baltimore areas offer extraordinary research facilities for the study of past and present
Americans' experiences and culture, including the Library of Congress, the National Archives, the Smithsonian's many
institutions, the National Park Service, the Maryland Historical Society, and the Walters Art Museum and National
Gallery, and other cultural institutions. The National Archives II, National Trust Library and Library of American
Broadcasting are all located on the College Park campus. There are also numerous local and regional-focused
museums, collections, archives, libraries, and "think tanks" that can support students' interests in issues and topics
related to identity and difference and the cultures of everyday life. Through consortia arrangements with universities in
the area, including George Washington University and Georgetown University, students may augment their programs
with courses otherwise unavailable at the University of Maryland.
Financial Assistance
A limited number of teaching assistantships are available in addition to graduate fellowships. Students who hold
assistantships typically teach two sections of AMST 201 , Introduction to American Studies, or AMST 205, Material
Aspects of American Life.
Contact Information
Additional information on program offerings, degree requirements and financial aid can be obtained on the department's
Web site ( http://www.amst.umd.edu ) and by writing to:
Director of Graduate Studies
1 102 Holzapfel Hall Department of American Studies
MD 20742-5620
Telephone: (301) 405-1354
Fax:(301)314-9453
amst-dgs@umd.edu
http://www.amst.umd.edu
Psyche Williams-Forson, Ph.D
MD 20740
Telephone: 301-405-6931
Courses: AMST
Related Programs and Campus Units
Historic Preservation and Real Estate Development
110
Animal Sciences (ANSC)
Note: Some courses in this program may require the use of animals. Please see the Statement on Animal Care and Use
and the Policy Statement for Students.
Abstract
The Graduate Program in the Animal Sciences offers graduate study leading to the Master of Science and Doctor of
Philosophy degrees. The master's degree program does not offer the non-thesis option. Faculty research interests
include: 1) Cell, molecular and developmental biology studies on the synthesis and secretion of milk constituents in the
mammary gland, gene expression of the neuroendocrine system during growth and development, molecular genetics of
metal and heme homeostasis in animals, maintenance of pluripotency and cell lineage determination in early embryos
and embryonic stem cells, regulation of gene expression during embryonic patterning, neuro- and reproductive
endocrinology in avian and fish species, and virology, immunology and microbial pathogenesis of significance to animal
agriculture; 2) Nutrition and intermediary metabolism of ruminants and non-ruminants, regulation of milk fat production
in dairy cattle, modeling for nutrient management, nutrient management in avian and other monogastric species,
including forage utilization in horses; nutritional immunology, nutrient sensing, metabolic homeostasis, companion and
exotic animal nutrition; 3) Aquaculture related fish physiology, cryopreservation of germ cells, neuroendocrine control of
reproduction and reproductive dysfunction induced by stress, or endocrine disrupting chemicals, and; 4) Application of
computational and systems biology to quantitative genetics, genomics, epigenetics, selection theory and breeding for
the improvement of domestic animals and conservation genetics.
Admissions Information
The Program requires applicants to submit an application online, and to submit official academic transcripts, statement
of goals and research interests, at least three letters of recommendation, and official Graduate Record Examination
scores to the Enrollment Services Operations Office. Applicants with degrees from non-English speaking countries and
who have not received a degree from the list of approved English-speaking universities must also submit results of the
Test of English as a Foreign Language (TOEFL).
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: March 15
Preferred: February 1
Deadline: October 1
Preferred: June 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Deadline: June 1
Application Requirements
1 . GRE (Verbal; Quantitative; Analytical/Writing)
2. TOEFL (if required)
3. 3 Letters of Recommendation
4. An application
5. Official academic transcripts
6. Statement of goals and research interests
Degree Requirements
Master of Science (M.S.)
During the first semester, the student selects an Adviser and an Advisory Committee (AC) with the approval of the
Program Graduate Education Committee. By the end of the second semester, with the AC'S advice, students file a
proposed schedule of courses (plan of study). Committees may require that students take remedial courses if they
enter with inadequate prerequisites or deficiencies in undergraduate programs. Also, by the end of the second
semester a thesis research proposal must be approved by the student's AC. Course requirements comprise at least
one semester of Biochemistry (3 credits; typically BCHM 463), one semester of Biometrics (4 credits; typically BIOM
601), one credit of seminar (ANSC 698) and a course in Research Ethics. Additional credits of graduate coursework
should result in a total of 24 credits, of which no more than 12 credits can be at the 400 level. Furthermore, a minimum
of six hours of thesis research credit (ANSC 799) is required. Towards the end of their graduate studies, students must
present the results and conclusions of their research in a public seminar and successfully defend their written thesis in
a final oral examination, which is given by the AC. A final copy of the thesis must be submitted to the Program Office.
Students with adequate undergraduate training usually complete the master's degree within two years.
Doctor of Philosophy (Ph.D.)
Ph.D. students with Master's degrees from other institutions are expected to meet the requirements indicated above for
the ANSC M.S. degree. The M.S. degree is not a prerequisite but is advantageous for admission to the Ph.D. program.
At least two credits of Seminar (with at least one in ANSC 698) and one semester of teaching experience (8-10 hours
per week) are required during study for the Ph.D. degree. In addition, a minimum of 12 research credits is required. A
plan of study and a research proposal must be filed with the approval of the student's Adviser and Advisory Committee
(AC) by the end of the second semester. After no more than five semesters, the student must pass the Admission to
Candidacy Examination, which consists of both written and oral components and is administered by the AC. Towards
111
the end of their studies, the candidates present the results and conclusions of their graduate research in a public
seminar and defend their research in an oral examination, which is adjudicated by the student's AC. In addition to
successful defense of the dissertation, it is expected that the student will publish at least one paper in a refereed
scientific journal, based on the dissertation research. A final bound copy of the dissertation must be submitted to the
Program Office. The Ph.D. degree is usually completed within three to four years after the M.S. degree.
Facilities and Special Resources
The Department of Animal and Avian Sciences and the nearby Gudelsky Veterinary Center housing the Virginia-
Maryland Regional College of Veterinary Medicine, have extensive facilities consisting of faculty research laboratories,
animal holding areas, a campus farm, aquaculture facility and outlying research farms. Additionally, the department
maintains two computer laboratories with 30 workstations in the teaching laboratory, and a smaller laboratory
exclusively for the use of graduate students on a 24 hour basis. The research laboratories comprise nearly 28,000
square feet for bench work, averaging over 1000 square feet per faculty member. Over 2800 square feet of cold room
and 2000 square feet of freezer rooms are integral components of the research laboratories. The laboratories are fully
equipped with state-of-the-art modern instrumentation and equipment for the entire range of research carried out by the
faculty, e.g. research in biochemistry, cell-molecular biology, physiology, nutrition, behavior, virology, immunology,
microbial pathogenesis etc. Individual laboratories are fully self-standing units, yet there is free exchange between
laboratories having shared and collaborative interests. All the laboratories and offices are networked to the campus
server for direct Internet access. Nearly 15,000 square feet of space is dedicated for animal holding in the Animal Wing
of the Animal Sciences Center. This facility is capable of handling all kinds of animals such as rodents, birds, fish and
large animals for research in separate rooms. A new aquaculture facility, adjoining the Gudelsky Center, is also
available. The Animal Wing is under the care of trained staff and is supervised by a professional veterinarian. Other
facilities, such as the Laboratory for Biological Ultrastructure, the Visual Imaging Center, the DNA Sequencing
Laboratory ,the Proteomics Core Facility, etc., are available to the faculty and students as part of the Central Core
Facilities on the campus. Off Campus Research Facilities include:- 1. University of Maryland/USDA-Beltsville Animal
Biotechnology Facility An 1 1 ,000 square foot cooperative facility for research in animal biotechnology at the Beltsville
Agricultural Research Center. This Center includes laboratories specifically designed for research in cloning and
transgenic biology. ANSC faculty engaged in nuclear cloning, stem cell and transgenic biotechnology may use this
facility to investigate genes of significance for the growth, development and physiology of domestic animals. 2. Central
Maryland Research and Education Center, Clarksville, MD This 925-acre dairy research center, located -25 miles from
the campus, houses 200 head of Holstein dairy cattle including 110 milking cows and 90 head of young stock. ANSC
faculty engaged in nutrition, reproduction, physiology, herd health, behavior and management research, conduct their
experiments at this facility. 3. Applied Poultry Research Laboratory, Upper Marlboro, MD This 202-acre facility is
located approximately 20 miles from the campus. It is used for conducting research in nutrition, physiology and
behavior. 4. Wye Beef Cattle Research Center This 450-acre facility is located on Maryland's Eastern Shore near
Queenstown. It has 250 registered Angus beef cows plus young stock and bulls which are direct descendants of the
Wye Angus herd. The facility is used to support research associated with beef cow-calf management, pasture
management and growth physiology.
Financial Assistance
A number of graduate combined research/teaching assistantships are available and awarded to students who present
strong academic records and a capability and motivation to perform well in teaching or in research assignments. These
assistantships are awarded on a competitive basis. Appointments are on an annual basis, with reappointment
contingent on demonstration of successful progress towards the degree. Assistantships are available for up to two
years for the M.S. degree and four years for the Ph.D. degree.
Contact Information
For specific information on the Program, admission procedures, or financial aid, contact either: Dr. Carol L. Keefer,
Associate Professor and Director of Graduate Studies, Graduate Program in Animal Sciences, University of Maryland,
College Park, Maryland 20742, E-mail: ckeefer@umd.edu or Andrea Junek, Administrative Assistant II, Graduate
Program in Animal Sciences, University of Maryland, College Park, Maryland 20742, Email: ajunek@umd.edu
Dr. Carol L. Keefer, Associate Professor and Director
Graduate Program in Animal Sciences
Room 2129 Animal Sciences Center
Department of Animal and Avian Sciences
Univ. of Maryland
College Park
MD 20742-2311
Telephone: 1-301-405-5781
Fax: 1-301-314-9059
ckeefer@umd.edu
http://ansc.umd.edu/Graduate
Andrea Junek, Administrative Assistant II
Room 21 15 Animal Sciences Center Department of Animal and Avian Sciences Univ. of Maryland College Park
MD 20740
Telephone: 301-405-5781
112
Fax:301-314-9059
ajunek@umd.edu
Courses:
Related Programs and Campus Units
Nutrition
Veterinary Medical Sciences
Neuroscience and Cognitive Science
Center for Bioinformatics and Computational Biology
Livestock & Poultry Sciences Institute
Reproductive Physiology, National Zoological Park
Wye Research and Education Center
Biological Sciences Program
Cell Biology and Molecular Genetics
Anthropology (ANTH)
Abstract
The Department of Anthropology offers graduate study leading to the Master of Applied Anthropology (MAA) and the
Doctor of Philosophy (Ph.D.) degrees. Both degrees reflect the department's special interest and expertise in the
applications of anthropology. Current faculty members represent the subfields of the discipline (archaeology, cultural
and social anthropology, and anthropological linguistics). Drawing their intellectual and applied orientations from
training and application of the above subdisciplines, the department's faculty also recognize the need to identify topics
or problems where the expertise of individual faculty members can be applied in a manner that integrates the
subdisciplines. In this ongoing effort, the faculty has identified three areas of research concentration: Anthropology of
Health, Anthropology of Environment, and Anthropology of Heritage. The areas can be thought to contain and generate
research problems of interest to the faculty's experience and expertise within the subdisciplines. These problems can
be addressed individually through cultural and social anthropology, anthropological linguistics and archaeology.
However, the anthropological contribution to addressing these problems is enhanced by collaboration across
subdiscipline interests and expertise. The Master of Applied Anthropology (MAA) is a program designed both for
students interested in an anthropology career outside of academia and for those who plan on continuing to a Ph.D. The
program has been offered at the University of Maryland since 1984, and graduates have successfully secured
employment or pursued doctoral work in a variety of fields, such as working in the areas of medical and health practice,
urban and regional planning and development, community development, conservation and heritage resource
development, cultural resource management, and historical archaeology. The focus of the MAA program has been to
participate in the building of anthropological practice. A major focus of the Doctor of Philosophy (Ph.D.) program is to
direct research scholarship and to encourage theoretical and methodological advancement in such a way as to reflect
upon the specific practices of anthropology, with the aim of improving those practices and thereby increasing the value
and usefulness of the discipline. Doctoral students are typically prepared for research and development careers outside
of academic settings, as well as for academic careers in anthropology departments and other disciplinary settings.
Admissions Information
Students are required to submit Graduate Record Examination scores and fulfill the Graduate School admission
requirements. Application deadline for all applicants, domestic and international, is December 15th.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: December 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 15
Application Requirements
1. Graduate School requirements
2. GRE General
3. Statement of Intent and Experience
4. Three (3) Letters of Recommendation
5. Writing sample (Ph.D. only)
113
Degree Requirements
Doctor of Philosophy (Ph.D)
Students entering the Ph.D. from a Bachelor's degree must normally complete all the requirements for the MAA
degree indicated above, although the internship sequence can be substituted with additional coursework
under approved circumstances. An additional minimum of 30 credit hours of advanced coursework is required,
to include at least 12 credit hours of dissertation research. For students entering the Ph.D. program from the
MAA, an additional minimum of 30 credit hours of advanced coursework is required, to include at least 12
credit hours of dissertation research. Students entering the Ph.D. program with a master's degree from another
institution are minimally required to complete the 18 credit-hour core sequence of the MAA program and an
additional minimum of 30 credit hours of advanced coursework, to include at least 12 credit hours of
dissertation research. These students are not normally required to complete the internship sequence, although
in some cases their doctoral committee may decide that an internship may be appropriate to enhance a
student's professional experience prior to graduation. Additional supportive coursework may be required on a
case-by-case basis depending on the qualifications of the student. In such cases, these expectations will be
specified upon admission to the Ph.D. program. Substitutions for courses in the MAA core sequence are rarely
permitted and must be approved by the Graduate Committee and the Department Chair. Students admitted to
the Ph.D. program advance to candidacy upon completion of a written comprehensive examination and an oral
defense of their dissertation proposal. An oral defense upon completion of the dissertation is also required.
Master of Applied Anthropology (M.A.A.)
The program requires 42 credit hours of coursework, including a core sequence (18 credit hours), an
internship sequence (12 semester hours), and a sequence of individually approved courses that are related to
a chosen domain of application (12 semester hours). MAA students must satisfactorily complete an internship
proposal review with their advisory committee before beginning the internship, which is normally completed
during the summer term between the first and second years of the program. Students are also required to
present the results of their internship in a departmental colloquium prior to graduation. There is no thesis
requirement.
Facilities and Special Resources
The Department of Anthropology has three laboratory spaces: the Archaeological Heritage Lab; a lab related to
the Archaeology in Annapolis project and a lab related to Irish Rural Lifeways. Additional research facilities
include the Cultural Systems Analysis Group (CuSAG), which focuses on applied research in health and
community development issues, the Center for Heritage Resource Studies (CHRS), which conducts and
supports basic and applied research in heritage resource studies, and the Immigrant Life course Research
Program.
Financial Assistance
A limited number of Departmental Fellowships and Teaching Assistantships are available to qualified graduate
students. Part-time employment related to department research is occasionally available.
Contact Information
For additional information please contact:
Dr. Michael Paolisso, Graduate Director
1111 Woods Hall
College Park
MD 20742
Telephone: 301-405-1433
Fax: 301-314-8305
mpaolisso@anth.umd.edu
http://www.bsos.umd.edu/anth
Courses: ANTH
Related Programs and Campus Units
Nutrition
Historic Preservation Certificate
Historic Preservation
Center for Heritage Resource Studies (ANTH)
Applied Mathematics & Statistics, and Scientific Computation (AMSC)
Abstract
The interdisciplinary program in Applied Mathematics & Statistics, and Scientific Computation (AMSC) offers graduate
study leading to Master of Science and Doctor of Philosophy degrees with concentrations in applied mathematics,
applied statistics, or scientific computation. It also offers a Certificate in Scientific Computation to graduate students
enrolled in other university Ph.D. programs. The faculty is drawn from departments throughout the university. Possible
areas of application include the physical, chemical, biological, and social sciences, and engineering. The program
114
receives substantial support from the Department of Mathematics (MATH), the Center for Scientific Computation and
Mathematical Modeling (CSCAMM), and the Institute for Physical Science and Technology (IPST). AMSC offers a
spectrum of courses at the forefront of computation and applications, as well as state-of-the-art computational,
visualization and networking facilities.
The Concentration in Applied Mathematics trains individuals who are able to enhance their understanding of a wide
spectrum of scientific phenomena through the application of rigorous mathematical analysis. At least half of the required
work is expected to be in courses with primarily mathematical content; the remaining courses must apply to a field
outside of the usual mathematics curriculum. Graduate students currently pursue studies in the applications areas such
as meteorology, algorithm development, pattern recognition, operations research, mathematical finance, computational
dynamics, structural mechanics, mathematical biology, and systems and control theory. Other areas of study are
available through participating departments. All students must include numerical analysis or scientific computing
courses in their programs.
The Concentration in Applied Statistics emphasizes acquisition of advanced training in the area of statistical application
along with statistical topics and development of mathematical and computing skills necessary for the modern applied
statistician. Students are required to take a series of core statistical and computational courses with more emphasis on
data analytics and presentation skills. In addition, students will take a minimum of six credits in an outside application
area.
The Concentration in Scientific Computation emphasizes the application of computation to the physical sciences, life
sciences, engineering, business, and social sciences. Students will receive training in the use of computational
techniques and associated information technology with correspondingly less emphasis on formal mathematical
methods in comparison to the Concentration in Applied Mathematics. Every Scientific Computation student is required
to apply the training in computation to a problem in a specific scientific discipline.
A master's degree program in all concentrations with an emphasis on numerical analysis, computational methods,
probability and statistics is excellent preparation for industrial or government employment.
Admissions Information
In addition to the Graduate School requirements, applicants are required to take the GRE general examination. The
applicants are encouraged to take the GRE subject examination in either mathematics or some other scientific topic.
Applicants should have at least a "B" average (3.0 on a 4.0 scale) and should have completed an undergraduate
program of study that includes a strong emphasis on rigorous mathematics, preferably through the level of advanced
calculus and matrix theory.
Admission will be based on the applicant's capability to do graduate work in either applied mathematics, applied
statistics, or scientific computation as demonstrated by the letters of recommendation, grades in coursework, and
program of study. In some circumstances, a provisional admission may be given to applicants whose mathematical
training is not sufficiently advanced. Previous education in an application area such as physics, biology, economics or
one of the engineering disciplines, and a basic competence in computational techniques will be favorably considered in
a student's application, although this is not a prerequisite.
When a student has decided upon an area of specialization, an advisory committee is formed and approved by the
AMSC Graduate Committee. The advisory committee is responsible for formulating with the student a course of study
that leads toward the degree sought. This course of study must constitute a unified, coherent program in an acceptable
field of specialization of applied mathematics, applied statistics, or scientific computation.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: February 1
Preferred: January 10
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Preferred: January 10
Application Requirements
1 . GRE General, (GRE Subject-Optional)
2. 3 Letters of Recommendation
Degree Requirements
Doctor of Philosophy (Ph.D.)
For the Ph.D. degree, the student must fulfill the coursework requirement of the corresponding concentration and pass
a set of comprehensive written examinations at the Ph.D. level. In addition, the student must pass the Oral Candidacy
Examination, which tests the student on advanced material to determine if he or she is prepared to do the research for
a doctoral dissertation. At least 12 credits of dissertation work are required. The doctoral student must also participate
in at least two semesters in the Applied Mathematics Seminar.
All M.S. and Ph.D. students must take at least one semester of numerical analysis. Details on the level and distribution
of coursework and examinations in mathematics and in the applications area are given on the program web
site: http://www.amsc.umd.edu/ .
115
Master of Science (M.S.)
For the master's degree, the program offers a thesis and non-thesis option. For Applied Mathematics and Scientific
Computation concentrations, in the thesis option, 24 credits of coursework are required with at least 6 more credits of
thesis work. In the non-thesis option for these two concentrations, 30 credits of coursework are required and the
student must pass a set of comprehensive examinations. A scholarly paper is also required. In both options, the student
must participate at least one semester in the Applied Mathematics seminar. For Applied Statistics concentration, in the
thesis option, 25 credits of coursework are required including one seminar credit, with at least 6 more credits of thesis
work. In the non-thesis option, 33 credits of coursework are required including two seminar credits and the student must
pass a set of comprehensive examinations. A scholarly paper is also required.
Facilities and Special Resources
There are over 25 participating departments and institutes on the College Park campus, including units in the College of
Computer, Mathematical, and Physical Sciences and the School of Engineering. The university has an engineering
technical library as well as a network of high performance workstations for faculty and graduate students. In addition,
there are collaborations with various area research institutes such as NASA Goddard Space Flight Center, National
Institutes of Health, National Institute of Standards and Technology, Naval Research Laboratory, and National Oceanic
and Atmospheric Administration.
Financial Assistance
The program offers teaching assistantships in the Department of Mathematics as a source of support for graduate
students. These assistantships carry a stipend with remission of tuition of up to 10 credit hours each semester.
Research assistantships are also available through participating departments and other sources, especially for students
that have acquired advanced training. Assistantships are usually available only to students entering in the fall;
applications including letters of recommendation should be completed by January 10 for full consideration.
Contact Information
For more specific information, contact:
Alverda McCoy, Program Coordinator
3103 Mathematics Building,
College Park
MD 20742
Telephone: (301) 405-0924
Fax:(301)314-1308
amsc @ amsc .umd.edu
http://www.amsc.umd.edu/
Courses:
Related Programs and Campus Units
Mathematics
Center for Scientific Computation and Mathematical Modeling
Mathematical Statistics
116
Architecture (ARCH)
Abstract
The School of Architecture, Planning, and Preservation offers a graduate program leading to the NAAB accredited Master of
Architecture degree. The mission of the Architecture Program (ARCH) at the University of Maryland is to engage in teaching
and learning imbued with critical thinking; to foster critical inquiry through research, scholarship, and creative academic and
professional activity; and to encourage participation in community service that enhances the quality of built and natural
environments. The Program offers a rich and demanding mix of architectural and urban design studios, architectural history
and theory, and architectural science and technology. Electives in architecture and related fields are available in the
curriculum.
The Master of Architecture degree is accredited by the National Architectural Accreditation Board (NAAB). In the United
States, most state registration boards require a degree from an accredited professional degree program as a prerequisite for
licensure. The National Architectural Accrediting Board (NAAB), which is the sole agency authorized to accredit U.S.
professional degree programs in architecture, recognizes three types of degrees: the Bachelor of Architecture, the Master of
Architecture, and the Doctor of Architecture. A program may be granted a 6-year, 3-year, or 2-year term of accreditation,
depending on the extent of its conformance with established educational standards.
Doctor of Architecture and Master of Architecture degree programs may consist of a pre-professional undergraduate degree
and a professional graduate degree that, when earned sequentially, constitute an accredited professional education.
However, the pre-professional degree is not by itself, recognized as an accredited degree.
The University of Maryland School of Architecture, Planning & Preservation offers the following NAAB-accredited degree
programs:
M.Arch (pre-professional degree + 60 graduate credits)
M.Arch (non-pre-professional degree + 109 credits)
Next accreditation visit for both programs: 2017
The School is a member of the Association of Collegiate Schools of Architecture (ACSA).
Admissions Information
Admission to the graduate program is competitive. In addition to the Graduate School requirements, candidates must submit
a portfolio. The portfolio should show evidence of creative ability in the form of a portfolio containing reproductions of
creative work, which may include drawings, paintings, photographs, sculpture, sketches, and/or architectural designs.
Details concerning format and content may be obtained from the School of Architecture, Planning and Preservation website
at http://www.arch.umd.edu.
Applications from three categories will be considered for admission: 1) candidates with a four-year baccalaureate (B.S.)
degree in architecture or equivalent major; 2) candidates with four-year baccalaureate (B.A. in architecture or other major or
B.S. in a major other than architecture) degree who have successfully completed specified undergraduate prerequisites
outlined by the School of Architecture, Planning, and Preservation*; and 3) candidates with an accredited professional
degree in architecture. Students are expected to enroll on a full-time basis. For complete information on curricula
requirements for these categories, visit the the School of Architecture, Planning and Preservation website at
http://www.arch.umd.edu.
'Additional requirements include: one (1) semester of college level calculus or sucessful high school advanced placement
(AP) calculus; one (1) semester of college level physics with lab, or successful high school advanced placement (AP) in
physics, and one (1) course in college level freehand drawing.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: December 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 15
Application Requirements
1. Complete Application Form (On-line version - www.gradschool.umd.edu) (due December 15)
2. Online Application Supplemental Form (due by January 15)
3. Transcripts:
4. Standardized test scores: Graduate Record Examination (GRE)
5. Letters of Recommendation: Three confidential letters submitted by professors or others who can assess the quality of the applicant's
potential to succeed in the graduate program.
6. Statement of Goals, Experiences, and Research Interests: 1000-2000 word statement of goals and objectives in pursuing graduate study in
architecture at the University of Maryland.
7. Portfolio: Bound and not exceeding 9" x 12", containing reproductions of creative work including drawings, paintings, photographs,
sculpture, sketches, and architectural designs. Creative writing and original papers and research may also be submitted within the portfolio,
but the emphasis should be on visual creativity.
8. Resume
Degree Requirements
Master of Architecture (M.Arch.)
117
Students entering the program with a four-year Bachelor of Science degree in Architecture from an accredited college or
university normally require two years of graduate study to complete the requirements for the professional Master of
Architecture degree. The established curriculum requires four semesters of academic work encompassing a total of 60
credits. Additional credits may be required depending upon the admissions committee's evaluation of the individual's
academic and architectural experience. Information on required courses and curriculum may be obtained from the School of
Architecture, Planning, and Preservation website at http://www.arch.umd.edu.
Students who enter the professional program with a B.A. or B.S. in a discipline other than architecture will normally require
seven semesters of design studio and other prerequisite courses encompassing a total of 109 credits. Students may be
granted advanced standing if they have completed the appropriate prerequisites. Information on required courses and
curriculum may be obtained from the School of Architecture, Planning, and Preservation website at
http://www.arch.umd.edu.
A program leading to a Master's Certificate in Historic Preservation is available to M. Arch and M.S. in Arch candidates. The
course of study includes 24 credits and an approved thesis, which may satisfy requirements of both the Architecture and
Preservation curricula.
A program leading to a Masters Certificate in Urban Design is available to M. Arch and M.S. in Arch candidates. The course
of study includes 24 credits and an approved thesis.
Master of Architecture and Real Estate Development (dual degree) (ARDV)
The dual degree combines course work from the Architecture and Real Estate Development programs to enable a student to
complete both the Master of Architecture and Master of Real Estate Development degrees with fewer credits than it would
take to complete the two separately. For more information on the Master of Real Estate Development degree program go to
the catalog entry for RDEV. Also be advised that that there may be a differential tuition established for this program which
will be applied to any courses taken after approval of such differential if and when approved by the University.
Master of Science in Architecture (M.S. Arch)
A special option leading to the Master of Science in Architecture degree is available for those students who already possess
an accredited NAAB professional degree in architecture (B.Arch. or M. Arch.) or its equivalent. This option is designed to
accommodate the needs of students who wish to do advanced work beyond that required for the professional degree.
Applicants must specify in detail the nature of the proposed course of study for review and approval by the admissions
committee prior to their admission. The School currently provides resources for advanced work in international studies in
architecture, urban design, and housing.
Master of Architecture and Community Planning (dual degree) (ARCP)
The dual degree combines course work from the Architecture and Urban Studies and Planning programs to enable a student
to complete both the Master of Architecture and Master of Community Planning degrees with fewer credits than it would take
to complete the two separately. Students of the dual-degree program acquire specialized knowledge tailored to
understanding the urban environment from several perspectives. Students learn how social, economic, and political forces
have led to the development of human habitats. The emphasis on urban design in the dual-degree program yields an
education that is particularly applicable for persons interested in the revitalization of metropolitan areas and their center
cities.
Master of Architecture and Historic Preservation (dual degree) (ARHP)
The dual degree combines course work from the Architecture and Historic Preservation programs to enable a student to
complete both the Master of Architecture and Master of Historic Preservation degrees with fewer credits than it would take to
complete the two separately.
Ph.D. in Urban and Regional Planning and Design (Ph.D.)
The School of Architecture, Planning, and Preservation offers a Doctoral Program, the Ph.D. in Urban and Regional
Planning and Design. Participating programs include Urban Studies and Planning, Architecture, Historic Preservation,
Landscape Architecture, and The National Center for Smart Growth Research and Education. The program prepares
students to teach at the university level in departments of Urban Planning, Architecture, Historic Preservation, or Landscape
Architecture, as well as qualifies graduates to conduct research and participate in high-level decision-making in the public,
private, and nonprofit sectors.
Facilities and Special Resources
The School of Architecture, Planning, and Preservation is ideally located between Washington, DC, and Baltimore and
surrounded by a number of historic communities and a varied physical environment. The resulting opportunity for
environmental design study is unsurpassed. The School's resources include design workstations for each student, a model
shop, a digital fabrication lab, and computer labs. The School's library contains some 57,000 monographs and 6,000 current
periodicals, making it one of the major architectural libraries in the nation. The National Trust Library for Historic
Preservation, housed in McKeldin Library, contains 11,000 volumes and 450 periodical titles. The slide collection includes
approximately 430,000 slides on architecture, landscape architecture, planning, and technical subjects. The interdisciplinary
National Center for Smart Growth Education and Research is based in the School offering perspectives and opportunities to
engage important issues facing urban and regional planning.
The Architecture Program benefits from the strong support of the professional community, including practitioners who bring
expertise into the architectural design studios as instructors, consultants, and critics. Many alumni are leaders in regional
firms, while others practice as far afield as New York, Los Angeles, Puerto Rico, Vancouver, London, and Shanghai.
The University of Maryland's LEAFHouse took first place in the nation and third place in the world at the 2007 Solar
Decathlon, gaining the Architecture Program its reputation as a leader in sustainability. In 201 1 , the University of Maryland
competes in the Solar Decathlon for the fourth time with its Solar House, Watershed.
118
The award-winning Comprehensive Design Studio and Advanced Technology sequence (an integral component of the M.
Arch curriculum) offers an innovative teaching-learning environment where students work with an array of consultants from
practice, exploring relationships between conceptual and technical aspects of architectural form and its assembly.
Embracing the importance of context as an integral component of the design process and advocating urban design as an
essential component of architectural education, the Program has gained national and international recognition for its work in
urban design, through awards and competition performance. Interdisciplinary competitions like the Urban Land Institute
(ULI) Hines Urban Design Competition give architecture students opportunities to team up with fellow graduate students in
planning, historic preservation, and real estate development to address urban issues in a work environment that prepares
them for the collaborative experience of professional practice. The Advanced Urban Design Studio explores relationships
between individual buildings, urban spaces, and the contexts in which they reside. Studios engage projects ranging from
conceptual urban interventions to projects that help communities to envision future growth.
Study abroad opportunities augment the course of study offered in College Park. Summer and Winter study abroad
programs are offered to a variety of locations including Rome, Paris, Scandinavia, Great Britain, Turkey, St. Petersburg,
Egypt, Peru, and Sri Lanka. Summer and winter study opportunities are also available in conjunction with the Historic
Preservation, Urban Studies & Planning, and Real Estate Development programs. A Spring Semester study abroad program
is based at Kiplin Hall in Great Britain.
Financial Assistance
The School of Architecture, Planning, and Preservation offers a limited and varying number of teaching and research
assistantships, scholarships, fellowships, and internships. Applicants should apply for financial assistance when submitting
the application for admission.
Contact Information
Find additional information on program offerings, degree requirements, admissions, and financial aid on the School's Web
site (www.arch.umd.edu).
Schedule a visit and tour online at: http://www.arch.umd.edu/students/advising/
Sign up to receive an invitation to our Graduate Open House online at:
http://www.arch.umd.edu/students/admissions/information_request.cfm
For further information on admissions, degree requirements, and advising, please contact Jaime Oliver, Coordinator of
Academic Affairs, jloliver@umd.edu, 301-405-8000.
For further information about the Architecture Program, please contact Madlen Simon AIA, Associate Professor and
Architecture Program Director, grarchadvise@umd.edu, 301-405-8000.
Jaime Oliver, Coordinator of Academic Affairs
University of Maryland - School of Architecture, Planning, and Preservation
- College Park
MD 20742
Telephone: 301-405-8000
jloliver@umd.edu
www.arch.umd.edu
Madlen Simon AIA, Associate Professor & Architecture Program Director
University of Maryland - School of Architecture, Planning, and Preservation
- College Park
MD 20742
Telephone: 301-405-8000
archadvise@umd.edu
www.arch.umd.edu
Courses: RDEV ARCH HISP URSP ARCH
Related Programs and Campus Units
Urban Studies and Planning
Smart Growth Research and Education, National Center for
Historic Preservation
Urban and Regional Planning and Design
Natural Resource Sciences and Landscape Architecture
Real Estate Development
Landscape Architecture
Architecture and Real Estate Development (ARDV)
Dual degree programs, such as Architecture and Real Estate Development, can have complicated requirements and
applications. It is recommended that you consult with the Program Directors of each program before proceeding to apply.
Application deadline for the program is December 15 for part I of the application and January 15 for the Supplemental Part II
of the application. If you miss the deadline, you may apply and be considered for the real estate development program for
August, but would have to apply for the Architecture part of the dual degree program in the year following.
119
The School has requested a differential tuition for in-state students in order to defray the higher cost of offering the dual
degree program. The tuition differential, if approved, will be announced to all enrolled students, and will only be applied
going forward for the semester following the announcement.
Abstract
There are several paths, depending on prior education and experience for applicants to consider for Architecture as well as
for Real Estate Development. Students applying for the dual degree program will complete fewer courses (permitted overlap
of courses) than if they took each degree program sequentially. The total number of credits for the dual degree is 75 credits
for Path A architecture/real estate development dual degree students (those with undergraduate degree credits fully
accepted), and is 127 credits for Path B architecture/real estate development dual degree students (those without an
undergraduate degree in architecture). For the most complete information on the architecture program, also consult the
catalogue entry for ARCH. For the most complete information on the real estate development program, also consult the
catalogue entry for RDEV.
Admissions Information
The application process consists of four steps. First, fill out the on-line application for the University of Maryland Graduate
School. The administrative code for the dual degree in Master of Historic Preservation degree and Master of Real Estate
Development is "HPDV." Second respond and attach all elements requested when the Admissions office of the University
notifies you to do so by email. Third, send (or have sent by third parties, GRE, Transcripts) the other elements of the
application package (see below) to Enrollment Services Office-Graduate Admissions, Room 0130 Mitchell Building,
University of Maryland, College Park, MD20742. Fourth, send any portfolio items directly to the Program at the contact
address shown below. All applicants must have a bachelor's degree from an accredited institution, and a minimum grade-
point average of 3.0 on a 4.0 scale. There is no restriction on the applicants' previous field of study, and indeed we
encourage diversity in all senses.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: December 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 15
Application Requirements
1 . Complete Application Form: Use the On-line version (www.gradschool.umd.edu), click on program ARDV to apply for the dual degree.
2. Online Application Supplemental Form (send to you directly by email from the Admissions office of the University)
3. Transcripts: (Official paper transcripts submitted in sealed envelopes or mailed to Admissions office directly by your degree granting
institutions, unless your undergraduate work was done at UMCP, in which case no transcript submission required.)
4. Standardized test scores: Graduate Record Examination (GRE)
5. Letters of Recommendation: Three confidential letters submitted by professors or others who can assess the quality of the applicant's
potential to succeed in the graduate program.
6. Statement of Goals, Experiences, and Research Interests: 1000-2000 word statement of goals and objectives in pursuing graduate study in
architecture and real estate development at the University of Maryland. Also include a statement of your skill level with excel modeling
using a scale of (non-existent, limited, moderate, skilled, and very skilled)
7. Portfolio: Bound and not exceeding 9" x 12", containing reproductions of creative work including drawings, paintings, photographs,
sculpture, sketches, and architectural designs. Creative writing and original papers and research may also be submitted within the portfolio,
but the emphasis should be on visual creativity.
8. Resume: use a standard business style listing education and work experience.
Degree Requirements
Architecture and Real Estate Development (Dual Degree) (M. Arch)
Students entering the program with a four-year Bachelor of Science degree in Architecture from an accredited college or
university normally require two years of graduate study to complete the requirements for the professional Master of
Architecture degree (Path A). The dual degree curriculum requires 75 credits which can be completed in five semesters plus
one Summer and one Winter term course. Additional credits may be required depending upon the architectural admissions
committee's evaluation of the individual's academic and architectural experience. Information on required courses and
curriculum may be found on the School of Architecture, Planning, and Preservation website at http://www.arch.umd.edu.
Students who enter the professional program with a B.A. or B.S. in a discipline other than architecture (Path B) will normally
require eight semesters plus course work in 2 summer and two winter terms in order to complete the 127 credits required for
the dual degree in architecture and real estate development. . Students may be granted advanced standing if they have
completed certain of the required architecture prerequisites. Information on required courses and curriculum may be found
on the School of Architecture, Planning, and Preservation website at http://www.arch.umd.edu.
Facilities and Special Resources
The School of Architecture, Planning, and Preservation and the Colvin Institute of Real Estate Development are ideally
located between Washington, DC, and Baltimore and surrounded by a number of historic communities and a varied physical
environment. The resulting opportunity for real estate development and environmental design study is unsurpassed.
The School's resources include design workstations for each architecture student, a model shop, a digital fabrication lab,
and both PC and MAC computer labs with REVIT, ARGUS, GIS, Maptitude and other design programs available. The
120
School's library contains some 57,000 monographs and 6,000 current periodicals, making it one of the major architectural
libraries in the nation. The National Trust Library for Historic Preservation, housed in McKeldin Library, contains 1 1 ,000
volumes and 450 periodical titles. The Colvin Institute holds the entire library offerings of the Urban Land Institute and
access to all the case studies published by ULI. The slide collection includes approximately 430,000 slides on architecture,
landscape architecture, planning, and technical subjects. The interdisciplinary National Center for Smart Growth Education
and Research is based in the School offering perspectives and opportunities to engage important issues facing urban and
regional planning.
Both the Real Estate Development and Architecture Programs benefit from the strong support of the professional
community, including practitioners who bring expertise into the architectural design studios as instructors, consultants, and
critics. The RDEV courses are all taught by working or retired real estate professionals giving unparalleled access for
students to making connections with current practice in the industry. Many architecture alumni are leaders in regional firms,
while others practice as far afield as New York, Los Angeles, Puerto Rico, Vancouver, London, and Shanghai. The over 150
alumni of the real estate program have a very active and passionate group of grads in the area who meet regularly and
share practice tips, connections and future job opportunities.
The University of Maryland's LEAFHouse took first place in the nation and second place in the world at the 2007 Solar
Decathlon, gaining the Architecture Program its reputation as a leader in sustainability. In 201 1 , the University of Maryland
competes in the Solar Decathlon for the fourth time with its Solar House, Watershed.
The award-winning Comprehensive Design Studio and Advanced Technology sequence (an integral component of the M.
Arch curriculum) offers an innovative teaching-learning environment where students work with an array of consultants from
practice, exploring relationships between conceptual and technical aspects of architectural form and its assembly.
Embracing the importance of context as an integral component of the design process and advocating urban design as an
essential component of architectural education, the Program has gained national and international recognition for its work in
urban design, through awards and competition performance. Dual degree candidates are prime candidates for selection to
participate in the interdisciplinary competitions supported by the School, including the national ULI Hines (where the
School's teams have placed in the Final Four twice, and top ten in the preceding year), the regional REIDO development
competition, and the local capital area competition sponsored by NAIOP which gives the team the opportunity to present a
urban (re)development solution to a large professional audience of real estate and design professions.
Study abroad opportunities augment the course of study offered in College Park. Summer and Winter study abroad
programs are offered to a variety of locations including Rome, Paris, Scandinavia, Great Britain, Turkey, St. Petersburg,
Egypt, Peru, and Sri Lanka. Summer and winter study opportunities are also available in conjunction with the Historic
Preservation, Urban Studies & Planning, and Real Estate Development programs. A Spring Semester study abroad program
is based at Kiplin Hall in Great Britain.
Dual degree students have the option to do their MArch thesis and MRED Capstone project in a combined fashion, with a
design and development proposition supported by a committee of design and development instructors and professional
advisors. These are very challenging and rewarding for students and faculty alike, but require a fair amount of advance
planning on the part of both the student and faculty.
Financial Assistance
The School of Architecture, Planning, and Preservation offers a limited and varying number of teaching and research
assistantships, scholarships, fellowships, and internships. Applicants should apply for financial assistance when submitting
the application for admission.
The Colvin Institute provides scholarship funds to a number of highly qualified students, who may be dual degree students
each term. Scholarship determinations are made at the time of application and admission. Scholarships are generally
awarded on a per course basis and commitments are made at the time of admission and apply to the entire program,
subject to academic performance. Periodically there are named scholarships provided by various real estate organizations
or development companies.
In addition, there are work opportunities both on, and off campus, and they are relatively plentiful. However, students in the
dual degree program may find it impossible to complete their degree requirements timely if they are working off campus, or
more than 10 hours per week. However, the MRED student listserv posts openings periodically as they are brought to the
attention of the Program by alumni, friends, faculty and sponsors.
Applicants should inquire as to the availability of funding for the term they are starting. Colvin Institute scholarships are
typically for a portion of tuition only, and are paid on a per course basis as students progress through the program.
Contact Information
Find additional information on program offerings, degree requirements, admissions, and financial aid on the School's Web
site (www.arch.umd.edu).
Schedule a visit and tour online at: http://www.arch.umd.edu/students/advising/. Be sure to contact the Program Director for
real estate development (mmcf@Umd.edu) if you wish to attend a sampling of classes while here.
Sign up to receive an invitation to our Graduate Open House online at:
http://www.arch.umd.edu/students/admissions/information_request.cfm
For further information about the Architecture Program, please contact Madlen Simon AIA, Associate Professor and
Architecture Program Director, grarchadvise@umd.edu, 301-405-8000.
For further information about the Real Estate Development Program and the Colvin Institute, please contact Margaret
McFarland, JD, Director of Graduate programs in Real Estate Development and the Colvin Institute of Real Estate
Development, mmcf@Umd.edu.
Additional information on Case competitions, samples of student work, as well as syllabi and adjunct faculty can be found at
the School's web site (www.arch.umd.edu. You will also find the Colvin Institute offering outreach and information at the
121
ICSC in Las Vegas each May, at the ULI National Conference each October, and at many local events of Bisnow, ICSC,
ULI, CREW, WIRRE and HAND.
Madlen Simon, AIA, Director, Architecture Program
University of Maryland School of Architecture, Planning and Preservation Building 145, Faculty Suite
College Park
MD 20740
Telephone: 301.405.8000
mgsimon@umd.edu
www.arch.umd.edu
Margaret McFarland, JD, Director, Graduate Programs in Real Estate Development
University of Maryland School of Architecture Planning and Preservation Colvin Institute of Real Estate Development
ARC 145, Suite 1243 College Park
MD 20740
Telephone: 301 .405.8000 or 301 .405.6790 (no voice mail messages)
mmcf@umd.edu
www.arch.umd.edu/real_estate_development
Courses:
Related Programs and Campus Units
Urban Studies and Planning
Historic Preservation
National Center for Smart Growth Research and Education
Art History and Archaeology (ARTH)
Abstract
The Department of Art History and Archaeology offers graduate study leading to the Master of Arts and Doctor of Philosophy
degrees in Art History. The Program is committed to the advanced study and scholarly interpretation of works of art from the
prehistoric era to the present and is grounded in the concept of art as a humanistic experience. The faculty offers expertise
in all phases of the history of Western art as well as the arts of Africa, the Americas, and East Asia.
Admissions Information
For admission to the Master's program, students should have an undergraduate degree from an accredited college or
university, or its equivalent. Although the applicant must demonstrate a general knowledge of art history, an undergraduate
major in art history is not required. Students are required to submit the Graduate Record Examination scores for admission.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: December 12
Preferred: December 12
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 12
Preferred: December 12
Application Requirements
1. GRE General
2. Transcripts
3. 3 Letters of Recommendation
4. Statement of Goals & Research
5. Writing Sample
6. Hard copy mailed Deborah Down
Degree Requirements
Master of Arts (M.A.)
For the Master's degree, the student will: complete 30 credit hours at the 600 and 700 levels (at least 9 of these credits must
be 700 level seminars; 6 are for thesis research; and one course must be ARTH 692, Methods of Art History); maintain a
grade of B or better in coursework; pass the departmental language examination in French or German, or in a language
appropriate to the area studied (such as Japanese); complete a thesis that demonstrates competency in research and in
original investigation; and successfully defend the thesis. Please contact the Graduate Secretary for information regarding
course distributional requirements.
Doctor of Philosophy (Ph.D.)
A total of thirty-three credit hours, after the M.A. degree, is required for the Ph.D. program. This involves seven courses (21
credit hours), including Methods of Research (ARTH 692) if not previously taken; the final twelve credit hours will be
Dissertation Research (ARTH 899). For the direct Ph.D. --in which the M.A. degree is bypassed-the student must complete
122
a total of fifty-seven credit hours, including Methods of Research (ARTH 692) and fourteen other courses, in at least five of
the eleven areas specified above in the description of the Master's program; the final twelve credit hours will be Dissertation
Research (ARTH 899).
Facilities and Special Resources
The Art Library houses approximately 92,000 volumes as well as a vast body of auxiliary material, including about 70,000
sheets of microfiche. The Department's Visual Resources Center contains approximately 300,000 slides and digitized
images. The University Art Gallery, also located in the Art/Sociology Building, maintains a lively and varied exhibition
schedule and has a permanent collection of twentieth-century American prints, drawings and paintings, collections of
Japanese prints, and African objects. The Department maintains its own Lloyd and Jeanne Raport study collection of some
130 objects from ancient Egypt, Greece, Rome, and the Ancient Americas.
The Michelle Smith Collaboratory for Visual Culture, part of the Art History Department, is designed to foster innovation in
teaching and research by combining cutting-edge visual technology with an environment that encourages collaboration
among faculty, students, and external scholars. The Collaboratory combines space for work and for meetings with advanced
technology and helpful staff to provide a venue in which teachers and students can gather to work, share ideas, and find the
resources necessary to explore new technologies and pursue intellectual interests.
The University of Maryland is located in the suburbs of Washington, D.C., and is 30 minutes from the National Gallery of Art
and the National Gallery's Center for Advanced Study in the Visual Arts, the Corcoran Gallery, the Phillips Collection, the
Hirshhorn Museum and Sculpture Garden, the Smithsonian American Art Museum, the Museum of African Art, the Freer
and Arthur M. Sackler Galleries, which are devoted to the art of East Asia, the National Museum of Women in the Arts, and
many other major art museums. The campus is a 40-minute drive from such Baltimore institutions as the Walters Art Gallery
and the Baltimore Museum of Art. In addition to the University's library resources, graduate students have access to the
Library of Congress, the Archives of American Art, the libraries of Dumbarton Oaks, and other research facilities. In order to
enhance the student's curricular choices, the Department maintains an arrangement for course exchange with the Art
History department of the Johns Hopkins University in Baltimore. To similar effect, the Department is a member of the
Washington Area Art History Consortium, which unites the graduate art history departments of the greater Washington area.
The Department organizes a variety of liaison activities with leading cultural institutions in the Washington-Baltimore area.
The Middle Atlantic Symposium in the History of Art is sponsored jointly by the Department and the National Gallery of Art;
this annual event provides the opportunity for advanced graduate students from universities in the Middle Atlantic region to
present their research at a professional forum. Special seminars are frequently given by curators of such local collections as
the National Gallery of Art, the Freer Gallery, or the Department of Prints and Photographs at the Library of Congress. A
program has been initiated whereby CASVA Fellows will meet with our students for informal colloquia. The department also
co-sponsors international symposia such as Van Dyck 350 with the Center for Advanced Study in the Visual Arts and other
local institutions.
Financial Assistance
Fellowships are awarded on the basis of merit by the College of Arts and Humanities and by the Graduate School. Several
graduate assistantships are awarded by the Department. Also, four Museum Fellowships are awarded each semester by the
Department of Art History for research at major museums in the Washington-Baltimore area. Approximately thirty graduate
students are fully supported with stipends and tuition each semester. The Department's Frank Di Federico Fellowship, in
memory of the late Professor Di Federico, is for work on the doctoral dissertation. In honor of its former chairman, the
Department has established the George Levitine Art History Endowment, in support of research activities of graduate
students as well as faculty. The Jenny Rhee Fellowship supports research, travel, and other educational expenses. The
Department has recently received a generous gift from the Robert H. Smith family which includes three graduate
fellowships. Graduate students in arts of the United States may apply for Department-administered Luce American Art
Dissertation Research Awards.
Contact Information
For more information on Departmental requirements and any other information, please view the Department's web-site, or
contact the Graduate Secretary.
Deborah Down, Graduate Secretary
1 21 1 B Art/Sociology Building
College Park, MD 20742
Telephone: (301) 405-1487
Fax:(301)314-9652
ddo wn @ umd.edu
http://www.arthistory-archaeology.umd.edu
Courses: ARTH
Art Studio (ARTT)
Abstract
The Department of Art offers a program of graduate study leading to the Master of Fine Arts degree. The program's
Graduate Faculty consists of over 15 active professional artists specializing in the traditional studio areas of painting,
123
sculpture, printmaking, drawing and digital media. Additional interests are reflected in the program's course offerings,
including areas such as new genre and installation i.e. computer based work.
Admissions Information
To apply to the MFA Program applicants are encouraged to complete the Graduate School application available online at
www.gradschool.umd.edu/admission. Applicants are also required to pay the requisite application fee.
For admission to the graduate program, The Department of Art requires an undergraduate degree with a major in art from an
accredited college or university, or its equivalent. A minimum of 30 credit hours of undergraduate work in studio courses and
12 credit hours in art history courses is recommended.
The MFA Degree is the final degree in studio art. Only the highest level of undergraduate artistic achievement is appropriate
for graduate application. The Department of Art seeks students who have developed coherent bodies of work that are
personal and focused. This body of art work, as professionally documented on CD's, Videos or websites is the primary basis
for admittance.-i
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Application Requirements
• No Tests
• 3 Letters of Recommendation
• 1 set of complete transcripts reflecting undergraduate and graduate work
• 20 Digital Images, website/software or videos/videos documentation
(Information on preparing Digital images, websites or videos/videos documentation please visit the Department of Art website at
www.art.umd.edu)
Degree Requirements
Master of Fine Arts (M.F.A.)
Candidates for the Master of Fine Arts Degree must complete a program that consists of 60 credit hours. These 60 credit
hours are distributed as follows: 30-33 credits in Studio, 0-3creditsDesign Practicum and/or Teaching Internships, 6 credits in
Art History/Art Theory, 12 credits in Graduate Colloquium and 9 credits in Master's Thesis Research. Graduate Reviews,
with committees made up of Graduate faculty members take place at the end of each semester. Each MFA candidate in
his/her final semester must select a thesis advisor with a thesis committee. Students must present their artwork in a Thesis
Exhibition, usually installed in the Art Gallery at a designated time near the end of the spring semester. Students must also
develop a written component to the Thesis (These have varied in length from five to 50 pages), and present an oral defense
of the Thesis to the Thesis committee.
Facilities and Special Resources
Studio facilities are spacious and well-equipped. Painting students are able to work in oils, acrylic, watercolor, fresco and
encaustic. The sculpture area includes a woodshop, a welding and forging area, a stone and related materials area, and an
active foundry. Printmakers can choose to work in intaglio, lithography, photo-etching, silkscreen or woodcuts. Drawing
facilities are also available as well as special project rooms. Each graduate student is provided with a studio and access to
models and classroom facilities. Sculptural installations may be built both indoors and outside on the grounds.
Within the building housing the Department of Art, there are two galleries and two libraries. The University of Maryland Art
Gallery, an independent unit that works closely with the Department of Art, features national and international contemporary
and historical exhibitions as well as faculty and annual MFA Thesis shows. The Herman Maril Gallery is a student organized
gallery that features student exhibitions, lectures, special projects and a space for social activities. The Art Library, separate
from the large research libraries on campus, has an outstanding collection of books, catalogues, periodicals and
reproductions, all indexed on computer and CD ROM systems.
Financial Assistance
The Department offers eight teaching assistantships and one fellowship. A number of Graduate School Fellowships are also
available. Applications should be submitted by January 15 for consideration for a graduate assistantship or fellowship.
Contact Information
For further information, contact:
Danielle M. Curtis/MFA Administrative Assistant
University of Maryland College Park Department of Art
rm. 1 21 1 E Art/Sociology Building #1 46
MD 20742
Telephone: (301) 405-1445
Fax:301-314-9740
DCurtis2@umd.edu
124
http://www.art.umd.edu
Prof. Brandon Morse, Graduate Director
Rm.1 21 1 E Art-Sociology Bldg #146
MD 207421311
Telephone: 301-405-1462
Fax:301-314-9740
bmorse1@umd.edu
Courses:
Astronomy (ASTR)
Abstract
The Department of Astronomy offers programs of study leading to the Master of Science and Doctor of Philosophy degrees.
The M.S. program includes both thesis and non-thesis options.
A full schedule of courses covering most fields of astronomy is offered. Some areas in which the faculty focus their research
efforts are comets, interplanetary dust, planetary dynamics, extrasolar planets, mm wavelength astronomy, the interstellar
medium, active galaxies, plasma astrophysics, high energy astrophysics, theoretical and computational astrophysics, and
cosmology.
Admissions Information
No formal undergraduate course work in astronomy is required. However, an entering student should have a basic, working
knowledge of the subject, which could be obtained from any one of many elementary textbooks. A more advanced
knowledge will of course enable a student to progress more rapidly during the first year of graduate work.
Note that the Department of Astronomy accepts applications for the Ph.D. program only. (You do not need an M.S. degree
to apply for the Ph.D. program.)
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Application Requirements
1 . GRE General and GRE Physics Subject Test is required (University of Maryland institution code is 581 4).
2. 3 Letters of Recommendation.
3. Statement of Purpose or Essay.
4. One copy of your official transcripts (translated in English). You must have an overall grade point average of at least 3.0.
5. International applicants must submit the Certification of Finances form.
6. TOEFL or IELTS test scores required for international students if English is not your native language.
7. Other materials such as curriculum vitae, resume, or other papers are accepted.
Degree Requirements
Doctor of Philosophy (Ph.D.)
Course requirements for the PhD in Astronomy currently consist of eight courses, at least six of which must come from the
nine principal Astronomy graduate courses 601 , 606, 61 0, 61 5, 620, 622, 630, 670, and 680. A qualifying exam based on
these courses is given in the summer after the second year. A research project is required of all students in the second year
of graduate study. Admission to the PhD program is based on course work, the research project and the qualifier.
Students choose a research stream depending on their interest within the field. Courses beyond the required eight are often necessary for
advanced research. This will be assessed by the student's thesis committee.
Master of Science (M.S.)
Candidates for the non-thesis option of the M.S. degree are required to complete 30 credits, including six of the nine
principal Astronomy graduate courses (18 credits), with the remaining 12 credits consisting of classroom courses or
research credits in Astronomy or supporting fields. One or more scholarly papers are required, usually fulfilled by the 2nd-
year project report. The student must also pass a written examination, normally consisting of the written part of the Ph.D.
qualifying examination with appropriately chosen passing requirements.
Candidates for the thesis option of the M.S. degree (less common) are required to complete 30 credits, including eight of the
nine principal Astronomy graduate courses (24 credits) and 6 credits of thesis research (ASTR 699). A written thesis is
required and must be successfully defended in an oral examination. The student must also pass a written examination,
normally consisting of the written part of the Ph.D. qualifying examination with appropriately chosen passing requirements.
125
Facilities and Special Resources
In collaboration with four other excellent astronomy departments, the University of Maryland operates CARMA (Combined
Array for Research in Millimeter-wave Astronomy), the most powerful millimeter-wave telescope in the northern hemisphere.
Located in the Inyo Mountains of eastern California, CARMA is an array of 23 linked radio dishes. Astronomers use CARMA
primarily to study radio waves emitted by molecules and dust in the coldest parts of the universe. CARMA saw "first light" in
late 2005, and it is used by students and other researchers for a wide range of projects. It is ideally suited for the study of
planetary and star formation, the birth and evolution of galaxies, and the feeding of supermassive black holes that power
active galactic nuclei. Maryland astronomers receive guaranteed observing time on CARMA.
A number of our students conduct research with distinguished scientists at the nearby NASA Goddard Space Flight Center.
The university's scientific partnership with Goddard has recently been further strengthened via the creation of the Join Space
Science Institute (JSI) in 2010. The first component of JSI is a black hole center, a close collaboration between the
Departments of Astronomy and Physics and Goddard scientists that is unique in addressing all observational and theoretical
aspects of black hole research.
The department has also recently established a partnership with Pontificia Universidad Catolica de Chile (PUC). PUC, one
of the top two institutions for astronomy in Chile, signed an agreement with UMD in 2010 that enables astronomy graduate
students at both institutions to participate in a joint Ph.D. program starting in their third year. These students split their time
between both locations and conduct their thesis research under the supervision of UMD and PUC co-advisors. UMD
students gain improved access to Chilean observatories, which include many of the best telescopes in the world.
The department anticipates completion of a partnership in a 4m-class optical telescope by Fall 201 1 . It also has strong
interaction with national astronomy observatories, where many students and faculty maintain observing programs, and also
with neighboring scientific institutes, including the Naval Observatory, the Naval Research Lab, and other government
agencies. The planetary science team is heavily involved with space missions visiting solar system bodies, such as NASA's
Deep Impact and EPOXI missions to study comets.
There is an extensive network of workstations available for use in the department. The network provides seamless access to
software and hardware on a variety of UNIX and LINUX platforms. The computational astrophysics group maintains and
upgrades a Beowulf cluster for computation-intensive science projects and has additional access to a larger cluster
maintained by the university.
This Department is associated with the following research units and facilities:
• Combined Array for Research in Millimeter Astronomy (CARMA)
• Laboratory for Millimeter Wave Astronomy
• Center for Theory and Computation (CTC) : Astronomy Dept. center for theory- and computation-related research programs.
• Joint Space Science Institute (JSI) : Partnership between Astronomy, Physics, and NASA/Goddard, with an initial emphasis on high energy
astrophysics, especially black holes. Established 2010.
• Center for Research and Exploration in Space Science and Technology (CRESST) : Partnership between UMCP, UMBC, USRA, and NASA/Goddard,
with an emphasis on high-energy astrophysics.
Financial Assistance
The Department of Astronomy offers both teaching and research assistantships. Essentially all full-time graduate students
receive full financial support. Most students receive assistantships to cover the summer period. These are either with faculty
in the department or with staff members at the NASA/Goddard Space Flight Center. Some summer teaching assistantships
are also available. The deadline for financial support applications is January 15th for assistantships and fellowships.
Contact Information
For more specific information, contact:
Graduate Entrance Committee
Dept of Astronomy Univ of Maryland, College Park
MD 20742-2421
Telephone: (301)405-3001
Fax:(301)314-9067
astr-grad @ deans .umd.edu
http://www.astro.umd.edu/
Courses: ASTR
Related Programs and Campus Units
Physics
Atmospheric and Oceanic Science (AOSC)
Abstract
Abstract The Department of Atmospheric and Oceanic Science offers graduate study leading to the Master of Professional
Studies, Master of Science, and Doctor of Philosophy degrees. Course work in atmospheric and oceanic sciences is also
offered at the upper division and graduate level as a service to other campus graduate programs. The educational program
is broadly based and involves many applications of the mathematical, physical and applied sciences that characterize
modern atmospheric sciences and physical oceanography, including climate and earth system science, and multidisciplinary
studies of the interrelationship among the atmosphere, the oceans, the land, and the biota. The Department's advanced
126
degree programs are designed to prepare students for participation in contemporary research in the atmospheric and
oceanic science. Research specializations include: atmospheric dynamics; atmospheric chemistry; physical oceanography;
air pollution; atmospheric radiative transfer; remote sensing of the atmosphere, ocean, and land; climate variability and
change; data assimilation; numerical weather prediction; severe storms; surface-atmosphere, ocean-atmosphere and
biosphere-atmosphere interactions; and earth system modeling. The curriculum includes a set of Core courses to provide a
fundamental background in atmospheric and oceanic dynamics, physical meteorology and atmospheric chemistry, earth
system science and climate, as well as advanced specialized courses. Supervised research using state-of-the-art facilities
then prepares the students for future contributions in their chosen field.
The Department's close association with federal agencies in the Washington area provides graduate students with good
training and opportunities in atmospheric and oceanic science. As a research assistant, the student has the opportunity to
develop a close working relationship with one or more of the scientific agencies.
Admissions Information
In addition to the requirements of the Graduate School, the department requires a Bachelors or higher degree in
meteorology, oceanography, physics, chemistry, mathematics, biology, engineering or other program with suitable emphasis
in the sciences. We welcome applications from those with no background in atmospheric sciences. The Core courses
offered in the first year of study present students with the necessary background in atmospheric and oceanic science for the
more advanced courses. The minimum undergraduate background includes 3 semesters of calculus, differential equations,
linear algebra, 3 semesters of calculus-based physics, and 2 semesters of chemistry, one semester of computer
programming. Scores from the GRE General Examination are also required.
The application deadline for domestic students is January 15 if applicants are competing for funding. Otherwise, if applicants
are self-funded, applications can be submitted through May 15.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Preferred: January 15
Application Requirements
• Application
• Research Interests/Statement of Goals
• GRE Scores
• TOEFL Scores (International Only)
• Official Transcripts
• Three Letters of Recommendation
• Resume/Publications (Optional)
Degree Requirements
Master of Science (M.S.)
The Atmospheric and Oceanic Science Department offers a non-thesis program leading to the Master of Science Degree.
The requirements include course work, a scholarly paper and presentation, and a comprehensive examination. This
program provides fundamental training to prepare students for research and operational work in the atmospheric and
oceanic sciences.
Each new student will be assigned to a faculty advisor whose interests parallel those of the student. The faculty advisor will
assist in the development of the student's course program and will follow the student's progress thereafter. The student may
select an alternate advisor at any time, although financial support is dependent upon the availability of funds.
The student must submit an M.S. degree course plan and a tentative schedule for completion by the end of the first nine
credit hours. A minimum of 30 semester hours of coursework is required for the degree program. This must include 24 hours
of 600-level AOSC courses, including core courses listed below. The remaining 6 semester-hours can come from additional
600-level courses, AOSC 81 1 (department seminars) or equivalent (pending approval by the Graduate Director), and AOSC
798 (Directed Graduate Research). For AOSC 81 1 or AOSC798, a maximum of 3 credit hours is acceptable toward the
degree. The purpose of the scholarly paper is to demonstrate the ability to conduct original or literature research. The paper
will become part of the permanent archive of the Department. A Ph.D. dissertation prospectus will satisfy this requirement.
The Comprehensive Examination consists of written and oral portions. The written portion is composed of questions
covering the subject areas of the following Core courses: AOSC 61 0, 61 1 , 620, 621 , 61 7 and 680. AOSC 61 1 can be
replaced by AOSC 600 for those students with a specialization in Chemistry who get approval from their advisor, the AOSC
Graduate Director, and Department Chair.
All requirements for the M.S. degree must be completed within a five-year period. This time limit applies to any transfer work
from other institutions to be included in the student's program. A full-time student can easily complete the M.S. degree in two
years.
127
Doctor of Philosophy (Ph.D.)
The Department of Atmospheric and Oceanic Science offers a program leading to the Doctor of Philosophy Degree (Ph.D.)
in atmospheric and oceanic science. This program is designed to furnish the student with the background necessary to carry
out independent and original scientific research. To earn the Ph.D., the student must complete a course work requirement,
pass the Candidacy Examinations, and prepare and defend a dissertation.
A student seeking a Ph.D. degree will be assigned to a faculty advisor whose interests parallel those of the student. The
academic advisor will establish and chair an advising committee which will oversee the student's degree program.
The course work requirement is 30 semester hours of 600-level or above AOSC Department courses. In addition, the
student must take 12 credits of AOSC 899 (Doctoral Dissertation Research). Students may wish to take a number of the
core courses in order to prepare for the Qualifying Examination. In addition, there is a Minor course requirement of six
semester hours of ancillary courses taken beyond the bachelor's degree in a related scientific area at the 600-level or above.
These credits must have a unified or coherent theme. Students may petition the Department for a waiver of a portion of
these requirements based on credits earned at another institution at the graduate level.
A student seeking the Ph.D. degree in atmospheric and oceanic science must pass the Candidacy Examinations, which are
divided into two parts - The Qualifying Examination and the Specialty Examination. During the Specialty Examination, the
student must present and defend a dissertation prospectus to the examination committee. Following successful defense, the
student advances to candidacy. Ability to perform independent research must be demonstrated by a written dissertation. The
dissertation should be an original contribution to knowledge and demonstrate the ability to present the subject matter in a
scholarly style. Upon completion of the dissertation the candidate is required to present the research results at an
Atmospheric and Oceanic Science Department seminar and to defend the material to the satisfaction of a Final Examining
Committee appointed by the Dean for Graduate Studies.
Full-time students are expected to complete the Qualifying Examination by the end of the second year of graduate study and
be admitted to candidacy by the end of the third year. Students must be admitted to candidacy within three years after
admission to the doctoral program and at least six months before the date on which the degree will be conferred. The
student must complete the entire program for the degree, including the dissertation and final examination, during a four-year
period after admission to candidacy.
Graduate Track for Accomplished Scientists
Graduate students with exceptional scientific achievements may, through written petition to the Graduate Director, replace
the written portion of the Comprehensive Exam with a seminar followed by an oral examination. To qualify for this track, the
candidate needs to meet the following requirements:
1) have an earned MS degree in atmospheric or oceanic science, or a related field, ordinarily from an accredited American
university, and receive approval from the five-member Departmental Examination Committee. 2) have published at least
five, peer-reviewed, Science Citation Index (SCI) journal articles in atmospheric, oceanic, or a closely related science. He or
she must be the lead or corresponding author of at least three of those papers.
The candidate must present an open seminar on his/her past research followed by a closed oral exam by the Examination
Committee of at least three faculty plus the Graduate Director, and the Admissions Committee Chair. Two or more negative
votes constitutes failure. The final decision will be subject to review by the committee of the whole.
Masters of Professional Studies (M.P.A.O.)
Master of Professional Studies (MPAO) The Master of Professional Studies in Atmospheric and Oceanic Science is
designed for meteorologists, oceanographers and environmental scientists who need cutting-edge skills and knowledge in
atmospheric and oceanic science, in the computational methods used in our field, and in air quality science. The Director of
Professional Studies will advise students in planning his or her course of study, and will provide career advice and The
degree is earned by successful completion of ten 3-credit courses. Students must complete two out of the following three
Certificate programs, each of which consists of four courses, plus two courses from the remaining Certificate Program.
Certificate #1, in Computational Methods in Atmospheric and Oceanic Science, develops computer skills needed to
understand weather and climate analysis and prediction technologies. It is earned by successful completion of AOSC 630,
AOSC 650, AOSC 684, and one of AOSC 614 or AOSC 615. Certificate #2, in General Atmospheric and Oceanic Science,
provides a broad phenomenological understanding of weather and climate, and the dynamical, thermodynamical and
radiative processes that drive them. It is earned by successful completion of AOSC 431 , AOSC 617, AOSC632 and AOSC
670. Finally, Certificate #3, in Air Quality Science and Technology teaches the physical and chemical principles that govern
air quality and allow for analysis and prediction of extreme weather. It is earned by successful completion of AOSC 424,
AOSC 600, AOSC 637, and either AOSC 624 or AOSC 625. The MPAO program is designed with the needs of working
professionals in mind, and can be completed on a part-time basis over no more than 5 years, or on a full-time basis in 1 year
and one semester.
Facilities and Special Resources
The Department participates in the Earth System Science Interdisciplinary Center (ESSIC) and the Cooperative Institute for
Climate Studies (CICS). These institutions conduct research, and offer opportunities for graduate research beyond those
offered by the department faculty. In addition, the Department maintains close research and teaching associations with
Departments of Mathematics and Chemistry, as well as the Institute for Physical Science and Technology (IPST), Center for
Scientific Computation and Mathematical Modeling (CSCAMM), and nearby government agencies including NOAA, NASA,
ONR, USDA, NIST, and Marylands Department of the Environment and Department of Natural Resources.
Special facilities that support the Department's teaching and research activities include sophisticated computing facilities
allowing access to a variety of atmospheric and oceanographic datasets, a laboratory for atmospheric chemistry, a mobile
air pollution laboratory, access to research aircraft, a variety of supercomputers, radar, windprofiler at Fort Meade, historical
128
data. Most importantly the students are encouraged to exploit the resources of the nearby government laboratories: NASA
Goddard Space Flight Center, NOAA National Centers for Environmental Prediction.
The Department maintains a specialized library with several hundred text and reference books in meteorology and allied
sciences, specialized series of research reports, and many journals. The campus provides a main library as well as
specialized libraries in chemistry, astronomy, and engineering. Several excellent government libraries in the area, including
the Library of Congress, the NASA Goddard Space Flight Center, the National Archives, and the NOAA libraries provide
unsurpassed resources.
The University of Maryland is located in an area of unparalleled professional resources. Because of its proximity to the
nation's capital, The University of Maryland is able to interact closely with the many governmental groups interested in
various aspects of the atmospheric, oceanic and earth system sciences. Scientists from government laboratories participate
in many aspects of graduate education, such as giving lectures in classes, presenting research results in seminars, and
serving on dissertation committees. Likewise, the Department faculty often attend and participate in the seminars, colloquia
and scientific workshops being held at these neighboring institutions.
The Washington, D.C. chapter of the American Meteorological Society consists of about 400 members who hold
professional meetings each month. The Washington, D.C. area is frequently the site of national and international
conferences, most notably of the American Association for the Advancement of Science and the American geophysical
Union. In addition to the various government and academic institutions, the Washington metropolitan area contains
numerous well-known private contractors and consulting companies involved in meteorology and oceanography, which
provide employment opportunities for students both before and after graduation.
As a member of the University Corporation for Atmospheric Research, the department enjoys the common facilities offered
by the National Center for Atmospheric Research such as research aircraft and supercomputers.
Financial Assistance
Graduate assistantships are available to qualified graduate students. Research assistants carry out research in the areas of
physical and dynamic meteorology, physical oceanography, data assimilation, remote sensing, atmospheric chemistry, air
pollution, climate dynamics, atmospheric radiation, severe storms, global climate change, and ocean-atmosphere and
atmosphere-biosphere interactions. Fellowships are also awarded by the Graduate School to the most qualified applicants.
In addition, hourly employment is available in the Department and off campus. Stipends are maintained at a competitive
level.
Contact Information
Tamara Hendershot
3409 Computer and Space Science Building
College Park
MD 20742
Telephone: (301) 405-5389
Fax: (301 )-31 4-9482
tammy@atmos.umd.edu
http://www.atmos.umd.edu/
Courses: AOSC
Biochemistry (BCHM)
Abstract
The Graduate Program in Biochemistry offers study leading to Doctor of Philosophy and Master of Science degrees.
Research specialization is available in drug metabolism, metabolomics, enzyme mechanisms, bio-organic chemistry,
membrane structure and function, metabolic regulation, nucleic acid biochemistry, macromolecular folding, nuclear magnetic
resonance, and X-ray crystallography.
Admissions Information
Admission to graduate study at the University of Maryland requires a minimum of a Bachelor of Science (B.S.), Bachelor of
Arts (B.A.) or equivalent degree. While the area in which the degree has been earned need not be chemistry or
biochemistry, previous coursework must normally include a minimum of 30 semester or 40 quarter hours of chemistry, with
at least 1 year of physical chemistry, 1 year of organic chemistry and 1 semester of biochemistry, as well as laboratory
courses in organic chemistry and biochemistry. A laboratory course in analytical chemistry is also preferred. Typical overall
grade point averages for successful applicants are 3.0 or greater (on a scale where the average grade is 2.0), and averages
in science and math courses are generally higher than this. Three letters of reference indicating a potential for independent,
creative scientific research are also required..
The general Graduate Record Examination (GRE) scores are required of all applicants. Applicants from non-English
speaking countries must also present the results of the Test of English as a Foreign Language (TOEFL) and the Test of
Spoken English (TSE).
The above requirements represent minimum requirements and the competition for available space may limit admissions to
persons with credentials above these minimum requirements.
Application Deadlines
129
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: February 1
Deadline: June 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Deadline: June 1
Application Requirements
1. GRE General required
2. GRE Subject recommended
3. 3 Letters of Recommendation (sent electronically)
4. TOEFL scores for international students
5. Transcripts (Originals must be sent to Enrollment Services Operations, Room 01 30 Mitchell Building, University of Maryland, College Park,
MD 20742
6. "Statement of Goals & Research Interests" and "Statement of Experiences". (These can be submitted separately or as a single document.)
Degree Requirements
Master of Science (M.S.)
The M.S. degree program offers both the thesis and non-thesis options. Twenty-four course credits and six research credits
are required for either option. The thesis option requires one seminar presentation and an oral defense of the thesis.
Specific regulations are available from the Department of Chemistry and Biochemistry or on the internet at:
www.chem.umd.edu.
Doctor of Philosophy (Ph.D.)
Twenty-one course credit hours, with twelve credits of research, two seminar presentations, an oral exam for advancement
to candidacy, preparation and defense of an independent research proposal, and a final dissertation defense are required
for the doctoral degree. Specific regulations are available from the Department of Chemistry and Biochemistry or on the
internet at: www.chem.umd.edu.
Facilities and Special Resources
Biochemistry research is conducted in well-equipped research laboratories. The following central facilities are also available:
analytical and preparative ultracentrifuges, phosphorimager, CD spectrometer, nuclear magnetic resonance and mass
spectrometers, X-ray diffractometer, animal colony, fermentation pilot plant, and a chemistry-biochemistry library.
Financial Assistance
Ph.D. candidates are normally supported on graduate teaching assistantships during their first year as graduate students.
Teaching assistants usually instruct undergraduate laboratory and recitation classes and receive in return a tuition waiver of
ten credits each semester, salary, and health care benefits. Ph.D. candidates are normally supported in subsequent years
on graduate research assistantships. Financial support is not generally available to M.S. candidates.
Contact Information
Information on requirements and research interests of the faculty may be obtained at www.chem.umd.edu or from:
Graduate Programs Office
0129 Chemistry Building,
University of Maryland- College Park,
MD 20742
Telephone: (301 ) 405-7022 or 301 -405-1 028
Fax:301-314-9121
chembchmadm @ umd.edu
http://www.chem.umd.edu/
Courses: BCHM
Related Programs and Campus Units
Biological Sciences
Biophysics
Chemistry
Chemical Physics
Biological Sciences (BISI)
Abstract
The Biological Sciences (BISI) Graduate Program offers a wide range of training opportunities for students interested in
pursuing doctoral level research in exciting, diverse areas across the biological sciences. BISI is an umbrella program
comprised of four Concentration Areas:
Behavior, Ecology, Evolution, and Systematics (BEES)
130
Computational Biology, Bioinformatics, and Genomics (CBBG)
Molecular and Cell Biology (MOCB)
Physiological Systems (PSYS)
Please indicate your interest on the Application Supplemental Form or send questions via email
to biologicalsciences@umd.edu.
Graduate students join a Concentration Area, but they may switch once on campus and may develop innovative research
projects across traditional disciplinary boundaries. Descriptions of each Concentration Area, faculty research interests, and
more detailed programmatic information are available at bisi.umd.edu.
Although the BISI Program is administered within the College of Chemical and Life Sciences, it involves distinguished
graduate faculty from many departments and several colleges at the University of Maryland as well as outstanding adjunct
faculty from nearby research institutions. Students may have opportunities to work with participating scientists from - as
examples - the National Institutes of Health; Smithsonian Institution Museum of Natural History, National Zoo, and Molecular
Systematics Laboratory; the Food and Drug Administration; United States Department of Agriculture; and the Institute for
Genomic Research. Thus, BISI students have an incomparable wealth of potential research options and collaborations that
extend from Maryland's College Park campus throughout the Washington D.C. metropolitan area.
Admissions Information
All students applying to the Biological Sciences Graduate Program must have a Bachelor's degree from a recognized
undergraduate institution. Applicants are expected to have a strong academic record, including coursework in advanced
areas of biology as well as at least one year of calculus, general chemistry, organic chemistry, and physics. Able students
with deficiencies in a particular area may be admitted and the deficiency corrected after enrollment. The Graduate Record
Examination General Test is required; the Subject Test in Biology is recommended. On the Application Supplemental
Form (ASF) part of the online application, applicants should indicate one, or at most two, Concentration Areas of
interest within BISI.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: December 15
Preferred: December 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 15
Preferred: December 15
Application Requirements
1 . University of Maryland application for graduate studies
2. Academic transcript(s)
3. Statement of purpose/research interests and professional objectives (can be reasonably broad; 1 -2 pages in length)
4. 3 letters of recommendation from people familiar with the applicant's abilities and aptitude for graduate work
5. Scores of the Graduate Record Exam General Aptitude Test (institutional code is 5814; departmental code not required)
6. Scores of the Graduate Record Exam Advanced Biology Test (optional, but recommended)
7. International students must submit scores from the Test of English as a Foreign Language (TOEFL, internet based exam,
iBT). Maryland's institutional code is 5814; no departmental code is needed.
8. Applicants in BEES and PSYS are encouraged to contact BISI faculty with shared research interests. To explore matches
of your interests with those of BISI faculty, see the BISI website, bisi.umd.edu .
Degree Requirements
Doctor of Philosophy (Ph.D.)
The Ph.D. program in Biological Sciences is a research program providing opportunities for students to develop scholarly,
innovative, and independent work. Courses are designed to strengthen and complement the student's research. An advisory
committee helps guide each student in selecting classes and other learning experiences. Students are encouraged to
present their research at national and international meetings and to publish in peer reviewed journals. Seminar series
featuring prominent scientists expose students to exciting topics and help students develop collaborative contacts. During
the course of their studies, each student must pass a qualifying exam, complete and defend an original dissertation, and
present their thesis work in a seminar.
Facilities and Special Resources
The campus and local area provide students access to a vast array of instrumentation, equipment, facilities, and
technologies to advance biological research. As examples, the college has state of the art facilities for research in all
aspects of cell and molecular biology including cell and organism culturing, protein and nucleic acid analyses, peptide
sequencing, oligonucleotide synthesis and sequencing, fluorescence, confocal microscopy, scanning and transmission
electron microscopy, computer graphics for molecular modeling, NMR, mass-spectroscopy, and X-ray diffraction. Students
have access to a laboratory for evolutionary molecular sequence analysis; gas source stable isotope mass
spectrophotometer; bioacoustic lab; flume lab; GIS (graphic information systems) lab; and high-speed network access to a
wide range of desktop and super-computing facilities. Greenhouses and animal care facilities are available.
131
We also have several state-of the-art shared instrumentation laboratories. Two center around biological imaging for both
electron and light microscopy, including a field-emission scanner and an image reconstruction/deconvolution microscope.
Another shared laboratory augments existing sequencing facilities on campus, enabling large-scale processing and
sequencing of nucleic acids, with multiple robotic sequenators and real time PCR. Other core facilities provide
instrumentation for fluorescence-activated cell sorting (FACS), NMR, mass spectrometry, and microarray technology.
Equipment and analytical instruments are available in both faculty and core laboratories for the maintenance of animal and
plant tissue cultures, for the production of monoclonal antibodies, for the synthesis and micro-analysis of proteins, for large-
scale fermentation and cultivation of microorganisms, and for computer assisted molecular modeling. Support staffing in
shared instrumentation facilities is provided by the college, and maintenance costs have been subsidized by the college,
thereby providing even occasional users with appropriate training and access, and simultaneously keeping instrument use
costs low. This strategy provides exceptional opportunities for research and training, and enables graduate students to
perform experiments with instrumentation that is at the leading edge of biological technology.
Students have access to the Smithsonian National Museum and USDA collections of living and preserved organisms.
Library Facilities: The library facilities on campus, as well as their online accessibility, are outstanding. In addition, there are
libraries in the local area with specialized collections. The most important are the National Agricultural Library, the Library of
Congress, the National Library of Medicine, and the Smithsonian Institution Library. Thus, the University of Maryland's
region contains perhaps the most comprehensive collections of books and journals in the world.
Financial Assistance
Students are supported through fellowships, research assistantships, and/or teaching assistantships. Each type of funding
provides a stipend, tuition remission, and access to health and dental insurance and a prescription drug plan. Historically, all
students have been supported throughout their graduate careers.
Fellowships are offered on a competitive basis. Students who apply by the December 1 5 deadline are automatically
considered for fellowships. There are no separate financial disclosure forms to fill out as part of the graduate application
process.
Teaching assistantships require students to assist a faculty member in teaching a course or lab section(s). Benefits of
teaching assistantships include building communication and organizational skills as well as resume enhancement for
academic, government, or private sector jobs. It is also delightfully rewarding to explain concepts to students and then
witness their excitement as ideas "click" and their questions are resolved.
Contact Information
Students are strongly encouraged to communicate directly with faculty in the area of their interest. Additional general
information may be obtained by emailing biologicalsciences@umd.edu or by calling the Biological Sciences Graduate Office at
301-405-6991.
Please visit the Biological Sciences Graduate Program website, featuring a search engine to match research interests with
faculty and links to all Concentration Areas: bisi.umd.edu
International students with questions about the application process should visit the University of Maryland's Office of
International Services website at http://www.international.umd.edu/ies/97 or email iesadv@deans.umd.edu
Sarah Biancardi, Program Management Specialist
2101 Bioscience Research Building, University of Maryland, College Park,
MD 20742
Telephone: 301-405-6991
Fax:301-314-9921
biologicalsciences@umd.edu
http://bisi.umd.edu
Dr. Michelle Brooks, Associate Director
2112 Bioscience Research Building
University of Maryland College Park
MD 20740
Telephone: 301-405-3273
biologicalsciences@umd.edu
Courses: BEES CBMG BIOL MOCB BIOM BSCI ENTM
Related Programs and Campus Units
Biochemistry
Biology
Cell Biology and Molecular Genetics
Biophysics
Chemistry
Entomology
Center for Bioinformatics and Computational Biology
Center for Comparative and Evolutional Biology of Hearing (LFSC/BSOS)
Center for Comparative Neuroscience (BSOS/LFSC)
Marine-Estuarine-Environmental Sciences
Neuroscience and Cognitive Science
132
Veterinary Medical Sciences
Sustainable Development and Conservation Biology
Biophysics (BIPH)
Abstract
The Biophysics Program in the Institute for Physical Science and Technology offers Ph.D. degrees in Biophysics. It is
affiliated with the College of Computer, Mathematical and Natural Sciences, and the College of Engineering. Doctoral
degrees are offered.
The Maryland Biophysics Program aims to train graduate students in the use of theoretical, computational, and experimental
methods to gain quantitative insights into biological systems. The post genomic era is bringing tools for unprecedented
characterization and control of living systems. To fully harness these tools for quantitative insights in biology, biomedicine,
and bioengineering requires expertise from a number of disciplines. Thus our program includes faculty from Chemistry,
Physics, Biology, Materials Science and Bioengineering. The Biophysics Program is open to students with undergraduate
degrees in chemistry, physics or biology as well as students with majors in mathematics, computational science or
engineering. Because student backgrounds are diverse, we tailor the curriculum to suit the needs of the individual. The
online application is located at apra@umd.edu.
Research areas include Membranes and channels, Theory of molecular machines and motors, Cell mechanics, Motility and
the cytoskeleton, Theoretical studies of protein and RNA folding and aggregation, Single molecule biophysics, Theory of
hydrophobic and electrostatic interactions Scattering Techniques in RNA and Polymers Protein Structure, Nonlinear
dynamics and biophysics of biological regulation, Mechanisms of allostery and protein assembly. The core courses that
include but are not limited to Statistical Mechanics, Chemical Thermodynamics, Biophysical Chemistry, Membrane
Biophysics and Cell Biology, constitute the basis for further specialization.
Admissions Information
Students dedicated to a career in experimental or theoretical biophysics are sought. General GREs are required and a
Subject GRE (Biology, Chemistry, Biochemistry or Physics) is also required but may be waived under certain circumstances.
For international individuals acceptable TOEFL scores are required. A resume or curriculum vitae and official transcripts are
required. A personal statement of 500-1000 words which covers (1) life experiences and research, and (2) goals for
research in biophysics is an integral part of the admissions process. Prior research experience is highly desirable. Three or
more letters of recommendation must be included. The electronic admission process is through the link: apra.umd.edu.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 15
Preferred: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Preferred: January 15
Application Requirements
See Admissions Information above
Degree Requirements
Doctor of Philosophy (Ph.D.)
One course, Research in Biophysics, which consists of three eight week rotations through three experimental or theoretical
research groups, is required of all students. Students must meet and report their progress to a three-person mentoring
committee starting with the first semester. A written report must be filed each semester. A qualifier exam must be passed.
Admission to candidacy is granted after the successful presentation of a research proposal to the Program Director and the
three-member committee. A dissertation must be written and defended before a committee.
Facilities and Special Resources
Ten of the fourteen faculty run experimental laboratories. Multiple experiments are conducted at the same time with
graduate students working on the experiments. A Biophysics Seminar is run on the average of once a week, generally given
by visiting scholars. For those students electing to take the Seminar for credit, one credit is offered, and these students must
sign in each week. Faculty form three-person committees to mentor students, as mentioned above. Symposia consisting of
about six nationally and internationally known scholars are conducted once a semester on various topics. These are well
attended by students, postdocs, faculty and visitors from local institutions such as NIH and Johns Hopkins.
Financial Assistance
TAships, RAships, Fellowships, arrangements for support from the National Institutes of Health.
Contact Information
www.marylandbiophysics.umd.edu
Caricia J. Fisher, Program Coordinator
Biophysics Program, 2112 IPST Bldg 085 Institute for Physical Science and Technology
133
University of Maryland College Park
MD 20742
Telephone: (301)405-9307
Fax:(301)314-9404
cjfisher@umd.edu
marylandbiophysics.umd.edu
Professor Wolfgang Losert, Director, Biophysics Program
Biophysics Program 3341 AV Williams (Bldg 1 1 5)
University of Maryland College Park
MD 20742
Telephone: (301)405-0629
Fax:(301)314-9404
wlosert@umd.edu
marylandbiophysics.umd.edu
Courses: CHEM BCHM BIOL BSCI BIOE PHYS ENMA BIPH
Related Programs and Campus Units
Chemical Physics
Chemistry
Engineering: Materials Science and Engineering
Physics
Biological Sciences
Biochemistry
Business and Management (BMGT)
Abstract
The Robert H. Smith School of Business offers graduate programs leading to the degrees of Master of Business
Administration (M.B.A.), Masters of Science in Business (M.S.) and Doctor of Philosophy (Ph.D.). The school's M.B.A.
program is accredited nationally by the Association to Advance Collegiate Schools of Business (AACSB International). Only
about 607 programs in the world are accredited by the AACSB, a reflection of the quality of the faculty, students, curriculum,
and career management.
The Smith School of Business faculty has been recruited from the graduate programs of leading universities nationwide.
They are dedicated scholars, teachers, and researchers with a strong commitment to academic excellence and the
education of the professional manager and researcher. The Smith School of Business is dedicated to preparing graduates to
lead organizations in an economy driven by technology, globalization, and rapid change. The Smith School curriculum
integrates an in-depth education in core business functions - accounting, entrepreneurship, finance, information technology,
logistics, management, and marketing - with cross-functional e-business areas - electronic commerce, financial
engineering, services marketing, and supply chain management.
Admissions Information
Admission criteria for the Ph.D. program are based on: (1) quality of undergraduate and graduate coursework; (2) score on
the Graduate Management Admission Test (GMAT) or Graduate Record Examination (GRE); (3) letters of recommendation;
(4) other relevant information and professional experience; and (5) a written essay of objectives/statement of goals.
Prospective applicants may call (301) 405-2214 for information regarding the Ph.D. program.
Admission criteria for the MBA program are based on: quality of undergraduate and graduate coursework; score on the
GMAT or GRE; 2 letters of recommendation; professional experience; and written essays of objectives. Prospective
applicants may contact the program at (301 ) 405-2559 for information regarding the MBA program.
Admission criteria for the EMBA program are based on: quality of undergraduate and graduate coursework; 2 letters of
recommendation; professional experience; and written essays of objectives. Prospective applicants may contact the
program at (301) 405-2559 for information regarding the EMBA program.
Admission criteria for the MS program focusing in accounting are based on: quality of undergraduate and graduate
coursework; 2 letters of recommendation; professional experience; and written essay of objectives. Prospective applicants
may contact the program at (301) 405-2559 for information regarding the MS program.
Admission criteria for the MS programs focusing in finance are based on: quality of undergraduate and graduate
coursework; GMAT or GRE score, 2 letters of recommendation; professional experience; and written essay of objectives.
Prospective applicants may contact the program at (301) 405-2559 for information regarding this MS program.
Admission criteria for the MS program focusing in information systems are based on: quality of undergraduate and graduate
coursework; GMAT or GRE score, 2 letters of recommendation; professional experience; and written essay of objectives.
Prospective applicants may contact the program at (301) 405-2559 for information regarding the MS program.
Admission criteria for the MS program focusing in supply chain management are based on: quality of undergraduate and
graduate coursework; GMAT or GRE score, 2 letters of recommendation; professional experience; and written essay of
objectives. Prospective applicants may contact the program at (301 ) 405-2559 for information regarding the MS program.
Application Deadlines
Type of Applicant Fall Spring
134
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
PhD Program:
• GMATorGRE
• 3 letters of recommendation
• Official Undergraduate/Graduate transcripts
• Written essay of Objectives/Statement of Goals
• TOEFL (for international applicants)
MBA Program
. GMATorGRE
• 2 letters of recommendation for all applicants
• Essays
• Undergraduate/Graduate transcripts
• Resume
• TOEFL (for international applicants)
Degree Requirements
MBA/MPP Joint Program Degree (MBA/MPP)
The Robert H. Smith School of Business and the School of Public Policy offer a joint program of studies leading to the MBA
and MPP degrees. Under the terms of the joint program, a student may earn both degrees in approximately five semesters.
The accelerated program is possible because some courses can be credited toward both degrees. Candidates must be
admitted to both programs.
Under the joint program, 66 credits are required for graduation, split about equally between the programs. Grade point
averages in each program will be computed separately and students must maintain minimum standards in each school to
continue in the program. A student must complete both programs satisfactorily in order to receive both degrees. A student
whose enrollment in either program is terminated may elect to complete work for the degree in which he or she remains
enrolled, but such completion must be upon the same conditions as required of regular (nonjoint program) degree
candidates. Student programs must be approved by the Associate Dean of the School of Public Policy and the Associate
Dean for Masters Programs. For further discussion of admission and degree requirements, students should see the general
admission requirements for each program.
Master of Science in Business: Accounting (M.S.)
Participants in the Master of Science in Business: Accounting program gain the leading-edge knowledge and skills they
need to bring exceptional value to their firms in today's high-stakes accounting arena - and earn an advanced accounting
degree from one of the world's leading business schools. The curriculum is relevant, practical and applicable from day one,
focusing on such key issues as: internal audit application and practice, current trends in corporate governance, the role of
managerial accounting in overall management planning and control structure, fraud prevention, deterrence, detection, and
control, and IT security, IT controls and IT auditing.
Master of Science in Business: Information Systems (MS)
The MS in Business: Information Systems program is ideal for those who understand the value of technology and are
interested in gaining the knowledge to manage it. You will learn how information is captured, organized, managed and
analyzed, preparing you to lead in the ongoing technology revolution. Get ready to harness the power of information and
help move your organization to the next level.
Master of Science in Business: Finance (MS)
The MS in Business: Finance program provides students with the knowledge and skills necessary to succeed in the complex
and networked world of finance.
Master of Business Administration/Master of Science in Nursing (MBA/MSN)
Students are eligible to pursue a joint degree through the Robert H. Smith School of Business and the University of
Maryland School of Nursing, located in Baltimore, Maryland.
Doctor of Philosophy (Ph.D.)
The Ph.D. program is a full-time program designed to produce outstanding scholars in management-related disciplines.
Thus, a strong research philosophy pervades the entire program. The low student-to-faculty ratio fosters a high degree of
interaction between faculty and students on research projects of mutual interest, frequently culminating in journal articles.
Students whose career aspirations are congruent with the program's research orientation can look forward to a learning
experience that is not only demanding but also stimulating and enriching. Graduates of the program have accepted positions
at various academic institutions including: Boston College, College of William and Mary, Cornell University, Columbia
University, Georgetown University, Georgia Institute of Technology, Hong Kong University of Science and Technology,
135
Indiana University, Instituto de Empresa (Madrid), Lehigh University, McGill University, National Taiwan University, National
University of Singapore, Notre Dame, Penn State University, Rensselaer Polytechnic Institute, Southern Methodist
University, Syracuse University, Texas A & M University, University of Houston, University of California (Davis), University of
California (Los Angeles), University of Southern California, University of Texas, University of Washington, University of
Wisconsin, and Vanderbilt University.
All Ph.D. students are provisionally admitted and must achieve at least a 3.25 GPA in each of their first two semesters.
Failure to do so results in being placed on probation for one semester. The student will then be dismissed unless a 3.25
overall GPA is obtained. Ph.D. course requirements depend on the amount of relevant prior study. Preparation in calculus is
required for admission.
The Ph.D. student may select a single major (18 credits), one minor (12 credits), and a set of research tools courses (12
credits). Every Ph.D. student must register for a minimum of 12 dissertation research credits during the program. Major
areas of research may be chosen from among such fields as accounting and information assurance, finance, human
resource management, organizational behavior, strategic management, information systems, operations management and
management science, marketing, and logistics and transportation.
Minors and second majors may include areas inside or outside the Smith School of Business. Typical outside minors include
computer science, economics, engineering, government and politics, mathematics, psychology, and sociology.
Students are required to take a written comprehensive examination in their major area. Additional exam(s) may be required.
Upon successful completion of all departmental requirements, including (though not limited to) coursework and
comprehensive exam(s), the student is advanced to candidacy.
Each Ph.D. candidate prepares a formal dissertation proposal and presents it at an open meeting of faculty and students.
The proposal should clearly indicate how the dissertation will make a contribution to the literature of the field. Ultimately,
each Ph.D. candidate is required to prepare and formally defend the completed dissertation at an open meeting of faculty
and students before officially graduating from the Ph.D. Program.
Master of Business Administration/Master of Science (M.B.A/M.S.)
The Robert H. Smith School of Business is a global leader in integrating business management and technology. Smith
MBAs can take advantage of this strength in the joint MBA/MS degree program and leverage their managerial skills with
studies that develop research and technological skills in finance, accounting, information systems, or supply chain
management. Students may apply for admission to the MBA/MS degree program at the beginning of the application process
or at the end of their first year in the MBA program. Students must complete all required courses for both programs and
reach a total of 66 credits.
Master of Business Administration (M.B.A.)
The Robert H. Smith School of Business offers an MBA program designed to provide the educational foundation for those
students with the potential to exhibit the highest degree of excellence in future careers as professional managers. The MBA
program requires 54 credits of coursework, which is normally four semesters for a full-time student. There is no thesis
requirement. Successful students in the program are expected to demonstrate the following: (1) a thorough and integrated
knowledge of the basic tools, concepts, and theories relating to professional management; (2) behavioral and analytical
skills necessary to deal creatively and effectively with organizations and management problems; (3) an understanding of the
economic, political, technological, and social environments in which organizations operate; (4) a sense of professional and
personal integrity and social responsibility in the conduct of managerial affairs both internal and external to the organization.
Students whose cumulative grade point average falls below 3.0 will be placed on probation and will be given a specified
amount of time to raise the average to a 3.0. Failure to do so will result in academic dismissal from the program.
Maryland MBA graduates obtain employment in a wide spectrum of organizations at highly competitive starting salaries.
MBA/JD Joint Program Degree (MBA/JD)
The Robert H. Smith School of Business and the University of Maryland School of Law in Baltimore offer a joint program of
studies leading to MBA and JD degrees. Under the terms of the joint program, a student may earn both degrees in four
academic years. The accelerated program is possible because some courses can be credited toward both degrees.
Candidates must apply for admission to the Law School as well as to the MBA program at College Park and must be
admitted to both programs.
Twenty-one credits of law will be substituted for MBA elective coursework. Grade point averages in each program will be
computed separately and students must maintain minimum standards in each school to continue in the program. The
Graduate School will not accept transfer credit from coursework taken outside the joint program. A student must complete
both programs satisfactorily in order to receive both degrees. The MBA and the JD degrees must be awarded
simultaneously. A student whose enrollment is terminated in one program may elect to complete work for the degree in
which he or she remains enrolled, but such completion must be upon the same conditions as required of regular (nonjoint
program) degree candidates. Student programs must be approved by the law school adviser for the joint program and the
Associate Dean for Masters Programs. For further discussion of admission and degree requirements, students should see
the above and consult the entry in the University of Maryland School of Law catalog.
Master of Business Administration/Master of Social Work (M.B.A./M.S.W.)
This program provides a unique combination of skills for those who wish to become managers of social service agencies.
Elective courses can be taken at either the School of Social Work, University of Maryland, Baltimore, or at the Robert H.
Smith School of Business. This program requires 90 total credit hours for graduation and can be completed in three years.
• For more information: School of Social Work, University of Maryland, Baltimore, 410.706.7922 or http://www.ssw.umaryland.edu
Executive Master of Business Administration (MBA)
The EMBA program is designed for mid-career professionals to high-level executives who desire a systemic approach to
managing and leading corporate functions. Admission to the EMBA program is highly competitive and is based on significant
and relevant professional and managerial work experience, prior academic performance, and personal attributes. The
136
Robert H. Smith School of Business seeks to attract an internationally and professionally rich student population, diverse
across industry and functional expertise.
Master of Science in Business: Supply Chain Management (MS)
The MS in Business: Supply Chain Management program will prepare you to discover emerging opportunities and lead
innovation on a global scale. Whether you're a recent graduate with an interest in how goods move around the world or a
manager who would like to broaden your understanding of the global supply chain, our curriculum will prepare you for new
and growing career options in this dynamic industry.
Facilities and Special Resources
The Office of Career Services (OCS) provides dedicated, professional support to help students launch their careers. The
center links students directly to recruiters through a variety of services, including on- and off-campus recruitment and the
online resume database, which matches a Smith MBA to the right industry position. The OCS also participates in regional
and national career forums and job fairs, such as the National MBA Consortium, the National Black MBA Conference, the
National Hispanic MBA Conference, the International MBA Conference, the Graduate Women in Business Conference, the
Career Services Council, and the Chazen Conference.
The Smith School is located in the Baltimore/Washington, D.C./Northern Virginia corridor. This region offers one of the
highest concentrations of culture, diversity, and career opportunities in the country.
Financial Assistance
Financial aid is available to qualified full-time and Executive MBA students in the form of fellowships, graduate
assistantships, and scholarships.
Contact Information
The Smith School of Business has available brochures that give specific degree requirements for the MBA, EMBA, and MS
Programs. The Ph.D. Program information is available online at http://www.rhsmith.umd.edu/doctoral. Initial inquiries should
be directed to:
MBA/MS Admissions
2303 Van Munching Hall, College Park,
MD 20742
Telephone: 301-405-2559
Fax:301-314-9862
mba_info@rhsmith.umd.edu
http://www.rhsmith.umd.edu
The Robert H. Smith School of Business Ph.D. Program Office
3330 Van Munching Hall, College Park
MD 20742
Telephone: 301-405-2214
Fax:301-314-9611
businessphd@rhsmith.umd.edu
http://www.rhsmith.umd.edu/doctoral
Courses: BMGT BUFN BUAC BUDT BULM BUMK BUMO BUSI
Related Programs and Campus Units
Engineering: Systems Engineering
Real Estate Development
Chemical Physics (CHPH)
Abstract
The Chemical Physics Program is a program of study and research leading to Master of Science and Doctor of Philosophy
degrees for students who wish to enter professional careers requiring an in-depth knowledge of both physics and chemistry.
Students can choose research topics in biophysics, chemistry, physics, chemical engineering, electrical engineering,
materials and nuclear engineering, mechanical engineering or meteorology.
The Chemical Physics Program is designed for students with undergraduate degrees in physics, chemistry, or engineering
who are sufficiently well prepared in mathematics and the physical sciences to undertake graduate training in physics and
physical chemistry. Formal course offerings in quantum mechanics, quantum chemistry, spectroscopy, thermodynamics,
electricity and magnetism, statistical mechanics and biophysics prepare a student to explore the broad range of research
topics at the University of Maryland. Research areas of the Chemical Physics faculty include: the study of single molecules
as well as gases, surfaces, solids and polymers by means of laser-light and electron scattering, and nanomicroscopies; the
study of dynamic phenomena from atom-molecule collisions to protein-folding and hydrodynamics; thermodynamics from
phase transitions and critical phenomena to combustion; the statistical mechanical theory of phase transitions, fluid
dynamics and non-equilibrium phenomena; the quantum mechanical theory of molecules and molecular dynamics;
atmospheric physics and chemistry; and biophysics.
The Chemical Physics Program is sponsored by the Institute for Physical Science and Technology and seven academic
departments: Chemistry and Biochemistry, Physics, Electrical and Computer Engineering, Chemical Engineering, Materials
and Nuclear Engineering, Mechanical Engineering, and Meteorology. The Chemical Physics Committee oversees the
137
program and is made up of representatives from the sponsoring units with the Program Director as chair. The Chemical
Physics Program Office administers the program and is affiliated with the Institute for Physical Science and Technology. A
booklet describing Chemical Physics at Maryland, College Park, can be obtained from the Chemical Physics office upon
request.
Admissions Information
The program is for students with undergraduate degrees in chemistry, physics or engineering. For those students with
degrees in other disciplines, knowledge of calculus, differential equations, and vector algebra, as well as introductory
mechanics, electricity and magnetism, and quantum mechanics is ordinarily expected.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: February 1
Preferred: February 1
Deadline: June 1
Preferred: June 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Preferred: February 1
Deadline: June 1
Preferred: June 1
Application Requirements
1. GRE General
2. GRE Subject (in Chemistry, Mathematics, or Physics)
3. Three Letters of Recommendation
4. Test of Spoken English (TSE), required for international applicants
Degree Requirements
Master of Science (M.S.)
Admission to the program is generally limited to Ph.D. students. Students can earn a thesis or a non-thesis M.S. degree
while working towards the Ph.D. degree. In order to earn a non-thesis M.S. degree in Chemical Physics, a student must
complete: Written Qualifying Examination passed at the M.S. level Scholarly paper 30 graduate course credits of which 24
must be course credits including: Advanced laboratory course, Two credits of seminar, can be included in the non-course
credits Advanced course at the 600 level or above B average In order to earn a non-thesis M.S. degree in Chemical
Physics, a student must complete: 30 graduate credits including: Six credits of CHPH799 - (M.S. thesis research) 21 course
credits Two credits of seminar, can be included in the non-course credits Advanced laboratory course Advanced course at
the 600 level or above B average Written Master's Thesis
Doctor of Philosophy (Ph.D.)
Written Qualifying Examination passed at the Ph.D. level and normally taken at the beginning of the second year 24
graduate course credits including: Two credits of seminar Advanced laboratory course Advanced course outside of the
student's main field of study Research presentation with faculty present Scholarly paper in an area of intended thesis
research 12 credits of CHPH899 (Ph.D. dissertation research, only available after advancement to Ph.D. candidacy) B
average Written Ph.D. dissertation Students must also satisfy all general requirements of the Graduate School.
Facilities and Special Resources
Incoming students are provided with private desk space and up to date computer facilities. There is a wide array of
advanced equipment associated with the various research groups in the Program including scanning probe microscopes,
high resolution spectrographs, ultra-short high-power lasers, multi-coincidence electron scattering spectrometers, and a fully
equipped light-scattering laboratory.
Financial Assistance
Teaching and research assistantships are available for qualified students. There are also University and Chemical Physics
Fellowships and fellowships in Biophysics (in cooperation with the National Institutes of Health) and Atomic, Molecular and
Optical Science (in cooperation with the National Institute of Standards and Technology).
Contact Information
Requests for further information concerning the Chemical Physics Program can be obtained by writing to:
Professor Michael A. Coplan, Director
4203 Computer & Space Sciences Building
MD 20742
Telephone: (301) 405-4780
Fax:(301)314-9363
coplan@umd.edu
http://www.chemicalphysics.umd.edu/
Courses: CHPH
Related Programs and Campus Units
Biophysics
Chemistry
Biochemistry
138
Chemistry (CHEM)
Abstract
The Department of Chemistry offers graduate study leading to the Master of Science or the Doctor of Philosophy degrees
with specialization in the fields of analytical chemistry, bioorganic chemistry, bioinorganic chemistry, chemical physics (in
cooperation with the Institute of Physical Sciences & Technology and the Department of Physics), environmental chemistry,
inorganic chemistry, nuclear chemistry, organic chemistry and physical chemistry.
Admissions Information
Admission to graduate study at the University of Maryland requires a minimum of a Bachelor of Science (B.S.), Bachelor of
Arts (B.A.) or equivalent degree. While the area in which the degree has been earned need not be chemistry or
biochemistry, previous coursework must normally include a minimum of 30 semester or 40 quarter hours of chemistry, with
at least 1 year of physical chemistry, 1 year of organic chemistry and 1 semester of inorganic chemistry, as well as
laboratory courses in organic chemistry and physical chemistry. A laboratory course in analytical chemistry is also preferred.
Typical overall grade point averages for successful applicants are 3.0 or greater (on a scale where the average grade is
2.0), and averages in science and math courses are generally higher than this. Three letters of reference indicating a
potential for independent, creative scientific research are also required.
The general Graduate Record Examination (GRE) scores are required of all applicants. Applicants from non-English
speaking countries must also present the results of the Test of English as a Foreign Language (TOEFL) and the Test of
Spoken English (TSE).
The above requirements represent minimum requirements and the competition for available space may limit admissions to
persons with credentials above these minimum requirements.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: February 1
Deadline: June 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Deadline: June 1
Application Requirements
1. GRE General required
2. GRE Subject recommended
3. 3 Letters of Recommendation (sent electronically)
4. TOEFL scores for international students
5. Transcripts (Originals must be sent to Enrollment Services Operations, Room 0130 Mitchell Building, University of Maryland, College Park,
MD 20742
6. "Statement of Goals & Research Interests" and "Statement of Experiences". (These can be submitted separately or as a single document.)
Degree Requirements
Doctor of Philosophy (Ph.D.)
Twenty-one course credit hours, with twelve credits of research, two seminar presentations, an oral exam for advancement
to candidacy, and a dissertation defense are required for the doctoral degree.
Master of Science (M.S.)
The M.S. degree program offers both the thesis and non-thesis options. Twenty-four course credits, including 2 seminar
credits and six research credits are required for either option. The thesis option requires one seminar presentation and an
oral defense of the thesis. Copies of specific regulations are available from the Department of Chemistry and Biochemistry
or on the internet at: www.chem.umd.edu.
Facilities and Special Resources
The Department has many state-of-the-art research facilities to support research in the fields listed above. Facilities include
"clean" rooms for environmental sample analysis, X-ray crystallographic instrumentation, five mass spectrometers, five NMR
spectrometers including 400 (3), 500 (1), 600 (1) MHz Fourier-transform NMR spectrometers; an XPS spectrometer, Atomic
Force Microscopes, ultracentrifuges, analytical optical spectrometers, and a state-of-the-art computer graphics facility.
Departmental research is supported by a departmental server and many individual faculty work stations. The Department
has an electronics shop, a student-faculty machine shop and access to other campus machine shops. The Chemistry
Library has an extensive collection in chemistry, biochemistry and other fields. A computer terminal is located in the
Chemistry Library for literature searching. A Macintosh workstation facility (25 units) is available in the Department for
student/faculty use.
Financial Assistance
Ph.D. candidates are normally supported on graduate teaching assistantships during their first year in graduate school.
Teaching assistants usually instruct undergraduate laboratory and recitation classes and receive in return a tuition waiver of
ten credits each semester, a salary and health care benefits. In subsequent years, Ph.D. candidates are typically supported
on graduate research assistantships. Financial assistance is not generally available to M.S. candidates.
139
Contact Information
Information on requirements and research interests of the faculty may be obtained at www.chem.umd.edu or from:
Graduate Programs Office
0129 Chemistry Building,
University of Maryland- College Park,
MD 20742
Telephone: (301) 405-7022 and (301)405-1028
Fax:(301)314-9121
chembchmadm @ umd.edu
http://www.chem.umd.edu/
Courses: CHEM
Related Programs and Campus Units
Biophysics
Biological Sciences
Biochemistry
Chemical Physics
Classics (CLAS)
Abstract
The Department of Classics offers a graduate program of study with specializations in Latin or Latin and Greek, leading to
the Master of Arts degree. The program provides students with advanced study of the Latin and/or Greek languages and
literatures in the context of a broader and deeper knowledge and understanding of Greek and Roman culture and
civilization. In addition to advanced courses in language, each student will be required to take coursework in related
disciplines outside of the Classics Department. Some individual programs may require more than 30 hours. Students may
choose one of two tracks toward the degree: Latin or Latin and Greek.
Admissions Information
In addition to the general requirements for admission established by the Graduate School (see "General Information" section
in this catalog), applicants must demonstrate a proficiency in translating the ancient language(s) at the advanced
undergraduate level.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: August 15
Deadline: December 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Application Requirements
1 . No Test
2. 3 Letters of Recommendation
3. Writing Sample
Degree Requirements
Master of Arts (M.A.)
The Latin program requires a minimum of 30 hours of approved coursework, which can include six credit hours of thesis
research. Six credits of Latin may be taken at the 400 or 600 level. An additional twelve credits of Latin must be in courses
at the 600 level or higher. Six credits must be from courses in a related field such as classical civilization, Latin pedagogy,
art and archaeology, history, linguistics, philosophy, or any other approved allied course. These courses must be taken at
the 400 level or higher. The final six credits may be taken as thesis credits or as two additional 600 level Latin courses.
Students must take LATN 4/672 (Historical Development of the Latin Language) and any two of the following: LATN 4/620,
4/622,4/623,4/624,4/630.
The Latin and Greek program requires a minimum of 33 hours of approved coursework, which can include six credits of
thesis research. Three credits in the major language, e.g. Latin, may be taken at the 400 or 600 level. Fifteen additional
hours in the major language must be at the 600 level or higher. Six credits in the minor language, e.g. Greek, may be at the
400 or 600 level. Six additional hours in the minor language must at the 600 level or higher. Three credits must be from a
course in a related field such as classical civilization, Latin pedagogy, art and archaeology, history, linguistics, philosophy, or
any other approved allied course. This course must be taken at the 400 level or higher. The final six credits may be taken as
thesis credits or as two additional 600 level courses in the major language. Students choosing Latin as their major language
must take LATN 4/672 (Historical Development of the Latin Language) and any two of the following: LATN 4/620, 4/622,
4/623, 4/624, 4/630.
140
Facilities and Special Resources
The Baltimore-Washington, D.C., area boasts of several outstanding classical libraries. Located in Washington, D.C., are the
Center for Hellenic Studies, the Byzantine Library of Dumbarton Oaks, and the Library of Congress. Students may also use
the Eisenhower Library on the campus of the Johns Hopkins University in Baltimore.
Financial Assistance
Teaching assistantships are available for outstanding applicants.
Contact Information
For more specific information on the program, please call or write:
Prof. Judith P. Hallett, Director of Graduate Studies
1210 Marie Mount Hall, University of Maryland,
College Park
MD 20742
Telephone: (301) 405-2024
Fax:301-314-9084
jeph@umd.edu
http://www.classics.umd.edu/
Courses: CLAS GREK LATN
Clinical Audiology (CAUD)
Abstract
(Note: Applicants for the M.A. program in Speech-Language Pathology, please see SPLA; Applications for the Hearing and
Speech Sciences Ph.D., please see HESP). Advanced graduate study in clinical audiology available through the
Department of Hearing and Speech Sciences includes the Doctor of Audiology (Au.D.) program and the Doctor of
Philosophy (Ph.D.) in Clinical Audiology. Either of these doctoral programs is available to post-baccalaureate or post-
masters students. A "fast-track" Au.D. option is available to post-masters students meeting certain criteria specified below.
Both of these graduate programs provide curricula designed to meet the educational and clinical experiences required to
obtain the Certificate of Clinical Competence in Audiology (CCC-A) of the American-Speech-Language-Hearing Association
and Board Certification in Audiology by the American Board of Audiology (ABA). A dual degree program is available to
CAUD students. Those students in the program who wish to pursue the Ph.D. in Clinical Audiology will earn the Au.D. at the
point in doctoral training when they have completed all of the academic, clinical, and research requirements for this first
professional degree.
Admissions Information
Admissions to the graduate program in Clinical Audiology is on a very competitive basis. Students admitted to the Au.D. or
Clinical Ph.D. program in Audiology must have a minimum grade point average of 3.2 from a master's degree program, or
3.4 from a baccalaureate program in hearing and speech sciences, or related discipline. In addition to the Graduate School
requirements, the Department requires all applicants to furnish scores on the Graduate Record Examination. Admission to
both programs is primarily confined to fall matriculation, although students may enter the program in the summer session to
complete undergraduate pre-requisites. Prospective applicants should note that decisions on summer and fall admissions
are made in early March. Students must submit application materials for the fall semester by January 15. Applicants with an
undergraduate degree in the hearing and speech sciences or a related field are considered for admission to the Au.D. and
Dual Degree (Au.D./Ph.D.) programs, which usually require four and six years of graduate study, respectively. Individuals
without a background in the hearing and speech sciences typically require an additional year to complete the degree
requirements. Only full-time students are admitted to these post-BA programs. A "fast track" of the Doctor of Audiology
(Au.D.) program is available to practicing audiologists. Applicants to this fast track must have a graduate degree in
Audiology with a minimum grade point average of 3.2 in graduate work, and either the ASHA Certificate of Clinical
Competence in Audiology (CCC-A) or a valid state license to practice audiology. Admissions requirements further include a
minimum of two years of full time (32 hrs/week) post-masters professional audiological experience during the two years
immediately preceding the application to the program and three letters of recommendation supporting these experiences.
Students may enroll in the post-M.A. Au.D. program on a part-time basis.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
141
Application Requirements
All applicants to the CAUD graduate program are required to furnish GRE scores taken within the last five years, three
letters of recommendation, and official transcripts from all undergraduate and graduate studies. Additionally, professional
audiologists applying to the post-MA program must also submit evidence of ASHA certification or state licensure, and
evidence of two years of full-time professional work as a clinical audiologist.
Degree Requirements
Doctor of Audiology (Au.D.)
The Au.D. program for post-BA students requires 57 credit hours of graduate coursework, 4 credit hours for a doctoral
capstone research project, 14 credit hours of clinical practicum registration, and 18 credit hours of full-time clinical internship
registration, for a total of 93 credit hours. PLEASE NOTE that beginning in Spring, 2009, Au.D. students are no longer
required to complete a dissertation for the Au.D. Degree. The Au.D. curriculum meets requirements specified in the
Standards for the Certificate of Clinical Competence in Audiology of the American Speech-Language-Hearing Association,
as well as those required for Board Certification in Audiology from the American Board of Audiology. Au.D. students must
pass comprehensive examinations and complete a capstone research project. Full-time students are expected to complete
the program in four years. The Au.D. program for returning students who already possess an M.A. degree in Audiology
requires 30 credit hours of graduate coursework and 4 credit hours for a capstone research project. There is no minimum
requirement of supervised clinical practicum experience, although clinical practicum will be available to students as needed.
Doctor of Philosophy in Clinical Audiology (Ph.D.)
The Dual-degree (Au.D./Ph.D.) program requires 60 credit hours of graduate coursework, 6 credit hours of pre-candidacy
research, 12 credit hours of dissertation research, 12 credit hours of clinical practicum registration, and 18 credit hours of
full-time clinical internship registration, for a total of 108 credit hours. The Dual-degree program is designed to meet
requirements specified in the Standards for the Certificate of Clinical Competence in Audiology of the American Speech-
Language-Hearing Association and in the Handbook for Board Certification in Audiology of the American Board of
Audiology. The program also meets all requirements of the Graduate School. Ph.D. students must develop an individual
study plan with the approval of a faculty Program Planning Committee, pass comprehensive examinations, and complete a
dissertation and oral defense. Full-time students are expected to complete the program in approximately 6 years. Students
will earn an Au.D. degree on the way to the Ph.D. degree after they have successfully completed academic coursework, pre-
candidacy research, clinical practicum, the 4th-year clinical externship, and comprehensive examinations. The Department
of Hearing and Speech Sciences also offers the traditional Doctor of Philosophy degree, with major emphasis in either
speech, language or hearing, for those students seeking careers in research or higher education without clinical training. For
information about the Ph.D. in Hearing and Speech Sciences, please see HESP.
Facilities and Special Resources
The Department's facilities include (1) numerous modern research laboratories equipped to support research in the areas of:
acoustic phonetics, psychoacoustics, cochlear implants, hearing aids, infant and adult speech perception, neuropsychology,
language, voice, fluency and electrophysiology. There are five sound-attenuating chambers, one semi-anechoic chamber,
and one electrically-shielded chamber, devoted to research with humans, which are all integrated with computers and
peripheral equipment for acoustic signal development, signal analysis, presentation and on-line data collection; (2) a
Departmental library; (3) the Hearing and Speech Clinic at UMCP: this clinic serves as the initial practicum site for all
students pursuing clinical training. The Clinic includes multiple audiological test suites equipped for diagnostic testing, a
complete hearing aid dispensary, a group rehabilitation room, and state-of-the-art equipment for behavioral and
electrophysiological diagnostic testing, as well as hearing aid selection and fitting. Ten speech and language diagnostic and
therapy rooms are integrated with observation areas; and (4) an on-site language pre-school (LEAP, the Language-Learning
Early Advantage Program), also equipped for observation. Students pursuing clinical training in Audiology will also have
access to the Audiology Service, Division of Audiology-Head and Neck Surgery, of the University of Maryland and University
Hospital in Baltimore (UMB), for part-time clinical rotations or full-time clinical externships. This Service provides a full range
of auditory and vestibular diagnostic and rehabilitative services in a large metropolitan hospital setting. Students also
engage in clinical activities in the Audiology Section of the Clinical Center as well as intramural research programs of the
National Institute on Deafness and Other Communication Disorders of the National Institutes of Health. All of the clinical and
research facilities are potentially available for the conduct of student-directed research projects, or for student participation in
faculty-initiated research projects. Additional research and clinical opportunities are available at Walter Reed Army Medical
Center, the Johns Hopkins University School of Medicine, and at other facilities in the Washington and Baltimore
metropolitan areas. The Library of Congress, the National Library of Medicine and the libraries of various medical schools in
the Washington-Baltimore area supplement the University's extensive libraries at College Park. The Department of Hearing
and Speech Sciences participates in the Center for the Comparative and Evolutionary Biology of Hearing Training
Program(C-CEBH), and the Neuroscience and Cognitive Sciences graduate program (see NACS), which afford students the
opportunity to work with faculty in other departments at the University of Maryland, College Park, or at UMB.
Financial Assistance
A limited number of graduate assistantships are available through the Department. Assistantships that carry teaching,
research or clinical responsibilities are awarded on a competitive basis. The Department recommends outstanding students
for Graduate School Fellowships. Students may also seek assistantships or doctoral fellowships sponsored by Federal
agencies (e.g., NIDCD) or private foundations (e.g., American Speech-Language-Hearing Foundation; American Academy
of Audiology Foundation). Students are encouraged to apply for assistantships by January 15.
142
Contact Information
Additional information about the Doctoral Program in Clinical Audiology (Au.D. or Ph.D.) may be obtained by contacting
Sandra Gordon-Salant, Ph.D., Director of the Doctoral Program in Clinical Audiology, or by e-mailing the program at
admissions@hesp.umd.edu; extensive information about the program and faculty are available at the Department's web
site: http://www.bsos.umd.edu/hesp
Sandra Gordon-Salant, Ph.D., Director, Doctoral Program in Clinical Audiology
0100 Lefrak Hall
Department of Hearing and Speech Sciences College Park
MD 20742
Telephone: 301-405-4214
Fax:301-314-2023
admissions@hesp.umd.edu
http://www.bsos.umd.edu/hesp
Courses: HESP
Related Programs and Campus Units
Communication
Linguistics
Neuroscience and Cognitive Science
Center for Comparative and Evolutional Biology of Hearing (LFSC/BSOS)
Communication (COMM)
Abstract
The department takes as its intellectual focus the strategic use of discourse in the public sphere. Departmental research
focuses in feminist studies; health communication; intercultural communication; media studies; persuasion and social
influence; public relations; and rhetoric and political culture. The Department encourages applications for graduate study
from students wishing to pursue interests identified with one or more of these foci. The graduate program in Communication
is designed for students whose educational objective is the Ph.D. degree (currently the program does not admit students
whose degree objective is the M.A.) Most graduates of the doctoral program pursue academic careers; however, some work
in public policy research and other professions requiring highly developed research skills.
Admissions Information
Students must hold a Bachelor's or Master's degree (or the equivalent) prior to enrollment in the Ph.D. program. Although
most applicants to the program will have earned a degree in the communication field, others with an interest in studying
communication may be admitted (with the possibility of additional courses assigned to remedy deficiencies). Admission to
the Ph.D. program is based on the student's prior academic record, GRE scores, letters of recommendation, statement of
goals and research interests, sample of scholarly writing, and other information relevant to the applicant's likelihood of
completing the program. TOEFL is required of all international applicants (except applicants from the United Kingdom,
Commonwealth Caribbean, Ireland, Canada, Australia, or New Zealand whose first language is English).
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: February 1
Preferred: December 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Preferred: December 1
Application Requirements
1 . Official Transcripts from all Colleges attended
2. GRE General
3. 3 Letters of Recommendation
4. Sample of Scholarly Writing
5. Submit statement of goals and experiences
6. TOEFL for all international applicants (except applicants from the United Kingdom, Commonwealth Caribbean, Ireland, Canada, Australia,
or New Zealand whose first language is English). The Test of Written English (TWE) is required for those not completing the IBT TOEFL.
Degree Requirements
Master of Arts (M.A.)
The Department of Communication is not currently admitting students whose terminal degree objective is the
M.A. A minimum of 30 hours is required for the master's degree. Students who select the thesis option must complete and
successfully defend an original research project that contributes to knowledge of communication. Those who select the non-
thesis option must complete a comprehensive examination and a research paper in their area of interest. All students,
143
regardless of option, are required to master the fundamentals of communication inquiry, including knowledge of
communication research methods.
Doctor of Philosophy (Ph.D.)
The Ph.D. requires (1) course work to prepare the student for a research program in communication, including work in a
cognate discipline, and research methods; (2) a comprehensive examination that certifies mastery of disciplinary knowledge
and preparation for independent research; and (3) completion and successful defense of a dissertation that advances
knowledge of communication.
Facilities and Special Resources
The campus provides extensive mainframe and personal computer resources and excellent library collections in
communication. In addition, the Washington metropolitan area provides research and laboratory facilities for studying
communication unmatched by other departments in the discipline.
Financial Assistance
Most departmental financial aid is in the form of graduate assistantships. However, a limited number of fellowships are
available. The application deadline for financial aid is December 1 for best consideration.
Contact Information
For additional information on graduate study in Communication, contact:
Professor James F. Klumpp, Interim Director of Graduate Studies
Department of Communication 2130 Skinner Building
College Park, MD 20742-7635
Telephone: (301) 405-6520
Fax:(301)314-9471
commgrad@deans.umd.edu
http://www.comm.umd.edu
Program Management Specialist
2130 Skinner Building
College Park, MD 20742-7635
Telephone: (301) 405-0870
Fax:(301)314-9471
commgrad@deans.umd.edu
http://www.comm.umd.edu
Courses: COMM COMM
Related Programs and Campus Units
English Language and Literature
Hearing and Speech Sciences
Clinical Audiology
Community Planning and Historic Preservation (CPHP)
Abstract
Admissions Information
Application Requirements
Degree Requirements
Financial Assistance
Courses:
Related Programs and Campus Units
Real Estate Development
Comparative Literature (CMLT)
Abstract
A separate degree program in the English Department, the Comparative Literature Program is committed to the comparative
and transnational study of literature and other media. Combining its own dynamic resources with the particular strengths of
the English Department and other units in the College of Arts and Humanities, the Program focuses especially on Western
Hemispheric and Transatlantic Studies and on Diasporic and Postcolonial Studies. Students in the Program work in at least
two languages and national literatures, one of them Anglophone. The Comparative Literature PhD Program complements
the current PhD Program in English, giving students a place to pursue true comparative studies. Students seeking
admission to the PhD Program in Comparative Literature must demonstrate advanced language proficiency before entry into
the Program, and commit themselves to achieving a high degree of intellectual expertise in two or more languages and
national literatures. Graduates are as likely to find academic positions in departments of foreign languages as they are to
find them in English. A doctoral degree in Comparative Literature can uniquely prepare them for a profession that more and
more studies literatures and cultures within a globalized, transnational context. Students entering this small, elite PhD
144
program will already hold an MA degree either in English or in another language/literature; students seeking admission with
the BA should contact the Director of the Comparative Literature Program to discuss alternative possibilities for achieving
the MA in preparation for the PhD program. Applicants interested in the Program should apply directly to Comparative
Literature, not English.
Admissions Information
Applicants should have a strong background in arts and humanities. Students will not be admitted to the program without
demonstrated proficiency in English and at least one other language. Each student must submit a critical writing sample (in
English), three letters of recommendation, evidence of language proficiency, and GRE scores. International applicants must
also submit TOEFL scores. Applicants will no longer be admitted to the Master of Arts program in Comparative
Literature as of Fall 2006; admission is available to the Ph.D. Students with a BA should contact the director of the
Comparative Literature program to discuss alternative possibilities for achieving an MA in preparation for the PhD
program.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Application Requirements
1. GRE General
2. 3 Letters of Recommendation
3. Critical Writing Sample
4. Language requirement
5. Personal Statement
6. Statement of Intellectual and Academic Goals
Degree Requirements
Doctor of Philosophy (Ph.D.)
The Ph.D. degree normally entails at least 18 credits of course work (beyond M.A. courses) and 12 credits of
dissertation research. Students take one course in Methodology (3 credits); one course in Theory (3 credits); two
courses in Early Modern Literature (6 credits); and two courses in Modern Literature (6 credits). The designations
early modern and modern remain flexible to accommodate different literary histories. In each of the two general
periods, at least one course must be taken in the English Department in Anglophone or Comparative Literature and
at least one course outside of the English Department in another language/literature. Students can use six credits
of MA work to satisfy distribution requirements (though not total credit number requirements). Advising will
address the depth, breadth, and coherence of each students course plan and, if necessary, coordination among
different histories of the early modern and modern.
Facilities and Special Resources
The Comparative Literature Program combines the benefits of a small department with the opportunities available
at a large research university located in suburban Washington, D.C. Students have access to such University
resources as the Center for Renaissance and Baroque Studies, the rare books and special collections of McKeldin
Library, the Program for Africa and Africa in the Americas, and the Women's Studies Graduate Certificate program.
Area resources include the extensive archival collections of the Library of Congress, the U.S. Archives, and the
Folger Institute, as well as museums, galleries, embassies and cultural institutions in the Washington area and in
the Baltimore-Philadelphia-New York corridor.
Financial Assistance
Comparative Literature students are eligible for graduate assistantships and university fellowships. Depending on
available resources and the student's own expertise, teaching and research assistantships may be available either
in Comparative Literature or in an affiliated department.
Contact Information
For more specific information about the program, contact:
Zita Nunes, Associate Professor of English and Comparative Literature Director, Comparative Literature Program
2116 Tawes Hall, University of Maryland
College Park
MD 20742
Telephone: (301) 405-3839
Fax:(301)314-7539
cmltgrad @ deans.umd.edu
http://www.cmlt.umd.edu
145
Courses: CMLT
Related Programs and Campus Units
Spanish and Portuguese Languages and Literatures
Computer Science (CMSC)
Abstract
The Computer Science Department's graduate program is ranked among the top in the nation and in the top ten among
public universities. Both M.S. and Ph.D degrees are offered, and almost all full-time students receive financial aid in the form
of assistantships, fellowships, and grants. The Department has strong research programs in the following areas: artificial
intelligence, computer systems and networking, database systems, programming languages, software engineering, scientific
computing, algorithms and computation theory, computer vision, geometric computing, graphics, and human-computer
interaction.
Admissions Information
Admission and degree requirements specific to the graduate programs in computer science are described on our
website, http://www.cs.umd.edu/Grad/catalog.html . A strong background in mathematics and theoretical computer science is
necessary. The general Graduate Record Examinations (GRE's) are required. The subject GRE is recommended, but not
required.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: December 15
Preferred: December 15
Deadline: October 1
Preferred: October 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 15
Preferred: December 15
Deadline: June 1
Preferred: June 1
Application Requirements
1. GRE General
2. GRE Subject highly recommended
3. 3 Letters of Recommendation
Degree Requirements
Master of Science (M.S.)
The master's program offers two options: 1) 24 hours of coursework and completion of a thesis, or 2) 30 hours of
coursework, comprehensive examinations, and completion of a scholarly paper.
Doctor of Philosophy (PhD)
The program milestones include a nine-course qualifying sequence, a preliminary oral examination on a proposal for a
dissertation and reading list in three related areas, and the dissertation defense. The number and variety of courses offered
each semester enable students and their advisors to plan individualized programs.
Facilities and Special Resources
The department is located in the A.V. Williams building. Each office has one or more wall plates, which contain ethernet,
fiber optic, and telephone outlets. Most larger offices and labs have dedicated ethernet switches installed in the room, with
two or more ethernet cables to each desk. Ethernet and fiber outlets are connected to ethernet switches running at 100 Mbit
and Gigabit ethernet speeds, and running on a gigabit ethernet backbone. Cisco routers connect the building switches to the
campus network and the internet via gigabit ethernet.
The campus has a wireless ethernet network covering the entire building and much of campus, allowing mobile computing
users to remain connected to the network while in meetings, conference rooms, hallways, visiting other offices, or roaming
certain parts of the University of Maryland campus. The wireless network supports the 802.1 1a, 802.1 1b, and 802.1 1g
standards.
Current research facilities include workstations running Sun Solaris, Redhat Linux, Apple OSX, and Microsoft Windows.
There are over 100 terminals on graduate student desks that provide a choice of Redhat Linux, Microsoft Windows, or Sun
Solaris as their native desktop operating system. Four public laser postscript printers with integrated black and white
scanners, a color scanner, and a color laser printer are available for use. A public workstation is available for burning CD
and DVD discs.
Financial Assistance
Financial aid, in the form of teaching assistantships, research assistantships, and fellowships, is offered to qualified
applicants. Almost all full-time students receive some type of financial aid.
Contact Information
For information on degree programs and graduate assistantships contact:
Graduate Office
1151 A.V. Williams Building
146
MD 20742
Telephone: (301) 405-2664
csgradof@cs.umd.edu
http://www.cs.umd.edu/Grad
Courses: CMSC
Related Programs and Campus Units
Engineering: Systems Engineering
Neuroscience and Cognitive Science
Couple and Family Therapy (FCFT)
Abstract
Admissions Information
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
Degree Requirements
Financial Assistance
Courses:
Creative Writing (CRWR)
Abstract
The MFA in Creative Writing provides a professional course of study for graduate students seeking to perfect their ability to
compose poems, stories, and novels. While primarily affording students intensive studio or practical work within their chosen
genre, the MFA in Creative Writing requires that students incorporate such work with a traditional study of literature. The
goal of the MFA in Creative Writing is to provide an atmosphere in which students can both hone their skills as writers and
gain a theoretical and historical understanding of their craft.
Admissions Information
In addition to fulfilling Graduate School requirements, applicants to the M.F.A. degree program should present a 3.0 GPA.
Applicants should submit a writing sample, for fiction, 25 pages, or for poetry, 10 poems, to the Office of the Creative Writing
Program. Applications must be received by January 15. Admission is for the Fall semester only.
Application Deadlines
I
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Application Requirements
1. GRE General recommended
2. 3 Letters of Recommendation
3. Writing Sample
Degree Requirements
Master of Fine Arts (M.F.A.)
147
The M.F.A. degree program requires 36 credit hours of graduate work. The program balances courses in literature with
writing workshops (30 hours), and requires a creative thesis (six hours). It offers concentrations in fiction and in poetry.
Facilities and Special Resources
Resources for research in the College Park and Washington, D.C. area are unsurpassed. The university's libraries hold over
2,000,000 volumes. In addition to the outstanding holdings of the Library of Congress, the area also offers the specialized
resources of the Folger Shakespeare Library, Dumbarton Oaks, the National Archives, the Smithsonian Institution, and the
National Center for the Study of the Visual Arts.
UMCP is a member of the Consortium of Institutions in the Washington area, which permits graduate students at College
Park to enroll in courses at other universities for graduate credit at UMCP. Graduate students in English also may take
courses for graduate credit at the Folger Institute of Renaissance and Eighteenth-Century Studies, which runs a series of
seminars by distinguished scholars each year.
Financial Assistance
The Graduate School awards a small number of fellowships to candidates nominated by the various departments. In
conjunction with the Graduate School, the English Department also awards teaching assistantships, the primary form of
financial aid. Currently, about 85 teaching assistantships are awarded each year, and about 25 of these go to incoming
students or to enrolled students who have not previously held them.
Contact Information
Additional information on admission, degree requirements, and financial aid can be obtained from:
Lindsay Bernal, Academic Coordinator
Creative Writing Program, 211 6D Taws Hall, Department of English, University of Maryland, College Park, MD 20740
MD 20740
Telephone: 301-405-3820
Fax:301-314-7539
lbernal@umd.edu
http://www.english.umd.edu/creativewriting
Courses: ENGL
Criminology and Criminal Justice (CRIM)
Abstract
The program of graduate study leading to Master of Arts and Doctor of Philosophy degrees in the area of Criminology and
Criminal Justice is intended to prepare students for research, teaching and professional employment in operational agencies
within the field of criminal justice. This program combines an intensive background in a social science discipline such as
criminology, criminal justice, sociology, psychology and public policy with graduate-level study of selected aspects of crime
and criminal justice.
In addition, the Department offers a joint J.D./M.A. degree with the School of Law of the University of Maryland, located in
Baltimore, and a Traditional M.A. in Criminal Justice.
A recent study of Department M.A. and Ph.D. alumni reveals that master's degree graduates have found employment in
both public and private institutions in virtually every kind of activity associated with the criminal justice system: research;
teaching; federal, state and local law enforcement; courts; corrections; private security; and funded programs. Ph.D.
graduates have found employment mostly in teaching, research, and government agency administration.
Admissions Information
In addition to the general Graduate School rules, special admission requirements include the Graduate Record Examination,
a major in a social science discipline and nine hours of coursework in appropriate areas of criminal justice.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: December 15
Preferred: December 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 15
Preferred: December 1
Application Requirements
1. GRE General Exam
2. 3 Letters of Recommendation
3. Personal Statement of Goals/Purpose
Degree Requirements
Master of Arts and Doctor of Jurisprudence (M.A./J.D.)
Please contact the program for more information.
148
Doctor of Philosophy (Ph.D.)
The Ph.D. applicant who has already earned an MA/MS degree must have completed two statistics, two research methods,
and two theory courses, one of each being at the Master's level. At the discretion of the Graduate Admission Committee of
the Department, deficiencies in some of the above areas may be made up by non-credit work at the beginning of the
program. Students whose highest degree is a BA/BS may choose to apply for entry either into the Traditional Master's
program or directly into the Ph.D. program. Students admitted directly into the Ph.D. program will complete the requirements
of the Traditional Master's program before beginning Ph.D. -level work.
In addition to the general Graduate School requirements, competence in research methodology and in quantitative
techniques is expected for the completion of the Ph.D. degree, as well as competence in theory and the criminal justice field.
The necessary coursework is determined on the basis of the student's previous preparation, needs and interests. The
candidate is also required to pass comprehensive examinations.
Master of Arts (M.A.)
For the M.A. applicant, the undergraduate major must have included at least one course each in theory, statistics and
research methods. M.A. students may choose either a Traditional M.A. or Professional M.A. option, but the Professional
M.A. option is offered only in the China location at this time. The general plan of study for the Traditional M.A. is as follows:
30 semester hours of courses consisting of: 1) five required courses that must be passed with a "B" or better (including two
statistics courses); 2) six hours of thesis credit; and 3) three elective courses.
Facilities and Special Resources
The Department houses the Maryland Justice Analysis Center. In addition, faculty maintain ongoing, funded research
programs. These resources provide numerous opportunities for students to engage in policy development, research, and
professional activities.
Financial Assistance
Graduate research and teaching assistantships and fellowships are available. Only those students whose applications are
received by December 1st will be considered for funding. In addition to the application for admission, students must
complete the application for departmental funding found on the department's website (see below).
Contact Information
A brochure describing the Department of Criminology and Criminal Justice and its programs is available upon request.
Inquiries should be directed to:
Graduate Program Coordinator
2220 LeFrak Hall
MD 20742
Telephone: (301) 405-4699
Fax: (301 ) 405-4733
crimgrad@deans.umd.edu
http://www.ccjs.umd.edu
Courses:
Dance (DANC)
Abstract
The School of Theatre, Dance, and Performance Studies offers a Master of Fine Arts degree in Dance, focusing on
developing highly skilled teaching artists with concentrations in either performance or choreography. It is designed to give
outstanding students advanced training, experience in teaching, and opportunities for creative growth. The School also
offers MA, MFA, and PhD degrees in theatre. For more information visit the School website at www.tdps.umd.edu.
Aimed primarily at modern or contemporary dancers with a high skill level and background in creating and performing at a
professional level, the MFA Dance program integrates studio, theory, and pedagogical practices, culminating in the third
year in both a shared concert of original work(s) and an off-campus internship in a professional agency, company, or school.
The competencies that students learn during the program will allow them to teach a broad range of dance and dance-related
subjects after they graduate. They should be able to produce and present dance in a number of contexts and modalities
both on the campus and in the community.
The program provides many performance opportunities, some of which are directed by faculty members, visiting artists and
students in the choreographic emphasis. Important emphasis will be given to dance theory and practices in western and
world dance and the study of current concerns. We wish our graduates to exhibit a high degree of insight into the cultural
contexts in which dance has developed in the past and continues to develop today.
Students in both the performance and choreography emphases will be expected to spend a significant amount of time
learning about technical aspects of dance as well as promotion and house management and the myriad of other
organizational details that go into producing a dance performance. They will be actively involved in the practical application
of this knowledge as part of their training.
The program is highly selective (four students per year) and auditions are required. The MFA is a fulltime three-year
program, with financial support for each student selected.
149
Admissions Information
Applicants should have a strong undergraduate preparation in technique and dance composition. They should have
completed the following undergraduate courses or their equivalent: improvisation, kinesiology, dance teaching methods,
dance production, Laban Movement Analysis, and two semesters of dance history or one semester of history and one of
dance philosophy, ethnology or aesthetics. Undergraduate deficiencies will be considered on an individual basis.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: February 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Application Requirements
1 . No entrance exams required (GRE or similar)
2. 3 Letters of Recommendation addressed (can be submitted online)
3. Audition/Interview
4. Writing Sample (submitted online with application)
5. DVD to be mailed to department
6. NOTE: Audition Date for Fall 201 2 Admission is February 25, 201 2
Degree Requirements
Master of Fine Arts (M.F.A.)
Students enrolled in the program must complete a total of 60 credit hours of study with a minimum cumulative grade point
average of 3.0 to graduate and will be assessed on a regular basis to determine their progress. Graduation from the
program requires the successful completion of a final project demonstrating a synthesis of craft and artistic understanding as
well as professional competence in the area of concentration. Final projects consist of: (1) the thesis project consisting of the
public presentation of a body of dance works choreographed by the candidate, along with written documentation of the
project as agreed upon with the thesis adviser; (2) the presentation of an online portfolio of selected indicators of artistry and
pedagogy. The thesis project work may be presented in one or more publicly attended events, in a shared capacity with
another MFA candidate. Candidates are responsible for the organization of all production elements involved in the
presentation of the project.
Facilities and Special Resources
The location of campus, eight miles away from Washington D.C., places the School a half hour away from America's second
city of dance where one may study and enjoy a wide variety of offerings of ballet, modern and ethnic dance. Washington
D.C. is also a center for policy and participation in the public discourse about the arts.
Financial Assistance
A number of teaching assistantships that include partial or full tuition remission are available. All qualified applicants may be
nominated for Graduate School fellowships; the deadline for all applications is posted on the TPDS website annually. For
more information, visit www.tdps.umd.edu or call 301-405-6675.
Contact Information
The Guidelines for the Graduate Program provide course requirements, examination procedures and descriptive materials
for the M.F.A. program. For specific information, contact:
Karen K. Bradley, Director of Graduate Studies
School of Theatre, Dance, and Performance Studies Clarice Smith Performing Arts Center
University of Maryland College Park
MD 20742-1615
Telephone: (301) 405-0387
Fax:(301)314-9599
kbradley@umd.edu
www.tdps.umd.edu
Ms. Stephanie Bergwall, graduate secretary
School of Theatre, Dance, and Performance Studies 2809 Clarice Smith Performing Arts Center University of Maryland
College Park
MD 20742-1615
Telephone: 301-405-6675
Fax:301-314-9599
tdps@umd.edu
www.tdps.umd.edu
150
Courses: DANC
Doctor of Veterinary Medicine (DVM) Program (VMED)
Abstract
The Virginia-Maryland Regional College of Veterinary Medicine offers a four-year full-time program (curriculum) leading to
the Doctor of Veterinary Medicine (D.V.M.) degree. The first three years are taught at Virginia Tech University in Blacksburg,
VA in a case-based and traditional lecture/laboratory format. At the end of the first year, students choose a track - small
animal, food animal, equine, mixed species and public/corporate veterinary medicine. Considerable flexibility exists for a
student to tailor their curriculum to meet individual needs and interests. The senior year (clinical) is 12 months in length. For
detailed information on the Doctor of Veterinary Medicine (DVM) program, please visit the Virginia-Maryland Regional
College of Veterinary Medicine at: http://www.vetmed.vt.edu/
Admissions Information
For information on applying to the Doctor of Veterinary Medicine (DVM) program, please visit the Virginia-Maryland Regional
College of Veterinary Medicine website at: http://www.vetmed.vt.edu/acad/dvm/index.asp
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
Please visit the Virginia-Maryland Regional College of Veterinary Medicine website at:
http://www.vetmed.vt.edU/acad/dvm/req.asp#adm
Degree Requirements
Please visit the Virginia-Maryland Regional College of Veterinary Medicine website at:
http://www.vetmed.vt.edU/acad/dvm/req.asp#adm
Financial Assistance
Contact Information
Joyce Bohr Massie DVM Program Admissions Coordinator
Virginia-Maryland Regional College of Veterinary Medicine DVM Admissions Office (0442) Blacksburg, VA 24061
VA 24061
Telephone: (540) 231-4699
Fax:(540)231-9290
dvmadmit@vt.edu
http://www.vetmed.vt.edU/acad/dvm/req.asp#adm
Courses:
Economics (ECON)
Abstract
The Economics Program offers graduate study leading to the Doctor of Philosophy degree. During the course of study
toward the Ph.D., doctoral students also have the opportunity to obtain a Master of Arts degree. Areas of specialization
include: advanced macroeconomics, advanced microeconomic theory, comparative institutional economics, econometrics,
economic development, economic history, environmental and natural resource economics, industrial organization,
international finance, international trade, labor economics, political economy, and public economics.
Admissions Information
By the application deadline, applicants should have completed advanced undergraduate courses in microeconomics,
macroeconomics, and econometrics. Applicants are also expected to have completed the equivalent of three semesters of
calculus, a semester of linear algebra, and a semester of differential equations. The majority of admitted students have also
completed course work in real analysis or other upper-level mathematics. The Graduate Record Examination (GRE)
Aptitude test is required. Submitted GRE scores must be valid through January 15, 2012. All of the Department's graduate
students are full-time students.
151
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Application Requirements
GRE General; TOEFL where applicable; Official Transcripts; 3 Letters of Recommendation; Statement of Goals, Research
and Experiences; Domestic Applicants-Fall Grades; Resume or Curriculum Vitae;
Degree Requirements
Master of Arts (M.A.)
The graduate program in the Department of Economics is designed for Ph.D. students. We do not offer a terminal Master's
program and we will not accept or enroll students for the single purpose of acquiring a Master's degree. Doctoral students
may obtain a Master of Arts Degree during their course of doctoral study, requirements of which (30 hours of coursework,
including an econometrics sequence, written examinations in microeconomic and macroeconomic theory, and a research
paper) are met automatically in the course of the Ph.D. program in economics.
Doctor of Philosophy (Ph.D.)
The Department of Economics at the University of Maryland prepares graduate students for careers in teaching, research,
and government service. The course of study provides a solid foundation in economic theory, econometrics and applied
fields. The Ph.D. program requires: (1) written examinations in microeconomic and macroeconomic theory, taken during the
summer after the first year of study, (2) completion of a three-course sequence and a written examination or field paper in a
major field, (3) completion of a two-course sequence in a minor field, (3) completion of an econometrics sequence, (4) an
additional supporting course in a theoretical or applied field, and (5) a dissertation. In the third year, students begin directed
research by participating in workshops appropriate to their dissertation research.
Financial Assistance
Many students entering our graduate program receive financial aid. Some students receive graduate assistantships,
requiring about 15 hours of teaching or research service per week. Graduate assistantships provide a stipend and a very
attractive package of fringe benefits that include medical insurance and full tuition remission. Other students receive first-
year fellowships. These fellowships also include a stipend, medical insurance and tuition remission, but do not require
students to work as a teaching or research assistant. In most cases, fellowships convert to assistantships beginning in the
second year. Students who enter our program with financial aid are guaranteed financial aid for two years in all cases, and
for four years conditional on satisfactory progress in the program. While not guaranteed, a fifth year of financial aid is usually
available for students making satisfactory progress.
Contact Information
For more information on our program, please go to our website at http://www.econ.umd.edu/graduate/overview
Director of Graduate Studies in Economics
3127DTydingsHall
MD 20742
Telephone: (301) 405-3544
Fax: (301 ) 405-3542
econgrad@deans.umd.edu
http://www.econ.umd.edu/graduate/overview
Courses: ECON ECON ECON ECON ECON ECON
Related Programs and Campus Units
Education: Curriculum and Instruction (EDCI)
Abstract
The Department offers graduate study leading to the following degrees and certificates: Master of Arts (thesis and non-
thesis), Master of Education, Advanced Graduate Specialist, Doctor of Education, and Doctor of Philosophy. The
Department offers a variety of programs individually designed to meet graduate students' personal and professional goals
which may include educational research, teaching, supervising, providing leadership as curriculum specialists within the
disciplines, teacher education or consulting at all levels of instruction: elementary, secondary and higher education. Full-time
study is preferred for those pursuing the Ph.D. in Curriculum and Instruction.
Areas of concentration include art education (M.Ed, only), elementary education (see teacher education/professional
development), history/social studies education, English education, Second Language Education (SLEC) - foreign language
152
education and teaching English as a second language (TESOL), mathematics education, minority and urban education,
music education (doctoral only), teacher education/professional development (doctoral only), reading education, and science
education. The Department also supports three master's degree programs for candidates who have a bachelor's degree in
fields other than education and wish to become certified teachers. In addition, there is a six-course Post- Baccalaureate
Certificate in literacy coaching designed to prepare experienced, highly qualified middle and high school teachers to serve
as literacy coaches in low performing middle and high schools.
NOTE: Admission to the Ed.D. program has been temporarily suspended. At the doctoral level, we are currently
admitting to the Ph.D. program only. All things being equal, preference will be given to full-time applicants who
apply by November 15, 2011.
Admissions Information
Applicants must have a 3.0 undergraduate grade point average. Acceptable scores on the Graduate Record Exam (GRE)
are required of applicants to all EDCI doctoral and MA programs but are not required for M.Ed, programs. Certification -track
programs may require passing Praxis scores-visit program website for details. Also required are letters of recommendation
from three persons competent to judge the applicant's probable success in graduate school, transcripts from all previously
attended institutions and statement of goals, interests and experiences. Doctoral applicants may also be required to submit
a professional writing sample.
Graduate programs leading to initial teacher certification require some parts of the Praxis exam.
Please see the EDCI website for more specific information about admission requirements.
Admission to an A.G.S. or doctoral program requires a 3.5 grade point average in previous graduate study as well as a 3.0
undergraduate grade point average and at least a 40th percentile on the Graduate Record Examination.
EDCI has limited doctoral admissions; therefore, candidates are encouraged to apply by the High Priority Deadline
of November 15th for best consideration. Spaces may be filled prior to Final Deadline, please note the decisions
may take several months.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Preferred: November 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Preferred: November 15
Application Requirements
1 . GRE General (Required for the AGS, Ph.D. and MA programs. GRE is NOT required for M.Ed, programs in EDCI. Please check the EDCI
website for specific requirement)
2. Official transcript from all previously attended institutions
3. 3 Letters of Recommendation from persons competent to judge the applicant's probable success in graduate school
4. Statement of Goals, Experiences, and Research Interests
Degree Requirements
Advanced Graduate Specialist Certificate (A.G.S. Certificate)
Please contact the program for more information.
Doctor of Philosophy or Doctor of Education (Ph.D. or Ed.D.)
The doctorate requires a planned sequence of approximately 60 credit hours beyond the master's degree. Doctoral students
are required to take a comprehensive examination prior to approval of their doctoral dissertation committee. An oral
examination in defense of the dissertation is required.
NOTE: Admission to the Ed.D. program has been temporarily suspended. At the doctoral level, we are currently
admitting to the Ph.D. program only. All things being equal, preference will be given to full-time applicants who
apply by November 15, 2011.
Post-Baccalaureate Certificate in Literacy Coaching (PBC)
The Post-Baccalaureate Certificate in Literacy Coaching is a six week program designed to prepare experienced,
highly qualified middle and high school teachers to serve as literacy coaches in low performing middle and high
schools. It is a joint program between the University of Maryland (UM)/Baltimore City Public Schools (BCPS City
Schools)/Montgomery County Public Schools (MCPS)/Prince George's County Public Schools (PGCPS) serving
cohorts of selected middle and high school teachers. Website: http://www.education.umd.edu/EDCI/info/litcoach/
Master of Arts or Master of Education (M.A. or M.Ed.)
Master's degree requirements vary according to the area of concentration and the type of degree. Typically,
programs require 30 to 33 credit hours, which includes a core research requirement; a three to six-hour
comprehensive examination or professional portfolio (requirement varies by specialization) and a seminar paper.
Certification-track M.Ed, programs typically require 42 credit hours.
Facilities and Special Resources
Facilities that support graduate study include the Center for Mathematics Education, the Reading Center, and the
Science Teaching Center. Additional facilities in the College of Education include the Educational Technology
Services Center, Teacher Education Centers in local schools, and the Center for Young Children.
153
Financial Assistance
Teaching assistantships and a smaller number of research assistantships are available for outstanding doctoral
candidates who are enrolled full-time. For best consideration apply early.
Once a completed admissions application is received by the department for review, applicants should expect to
receive an email confirmation as well as a copy of the assistantship application.
Contact Information
For additional information and application procedures, please visit our web site at: www.education.umd.edu/EDCI/
Joy Jones, Coordinator for EDCI Graduate Admissions and Student Services
Room 2311 Benjamin Building
MD 20742-1175
Telephone: (301) 405-3118
Fax:(301)314-9055
edcigrad@deans.umd.edu
http://www.education.umd.edu/EDCI
Courses:
Education: Measurement, Statistics and Evaluation (EDMS)
Abstract
Measurement, Statistics and Evaluation offers graduate study leading to the Master of Arts or Doctor of Philosophy degrees
for students with strong interests in research methods and their applications. Students pursuing Doctoral degrees in other
departments may enroll in a dual degree program leading to the Master's degree in Measurement, Statistics and Evaluation,
or there is also a 24-credit certificate program for doctoral students. For select undergraduates, there is a five-year
Bachelor's/Master's program in Measurement, Statistics and Evaluation. In addition, a 15-credit Post-Baccalaureate
Certificate in Assessment and Evaluation is available for students with strong interests in classroom assessment and
evaluation.
Admissions Information
In addition to Graduate School requirements, admission decisions are based on the quality of previous undergraduate and
graduate work, strength of letters of recommendation from persons competent to judge the applicant's likelihood of success
in graduate school, scores on the Graduate Record Examination, and the applicant's statement of academic and career
objectives in relation to the program of study to be pursued. Students who seek admission should display strong evidence of
aptitude and interest in quantitative methods. Programs of study may be designed to meet the individual needs of both full-
time and part-time students since many courses are offered in the late afternoon or evening.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: March 15
Preferred: November 15
Deadline: October 1
Preferred: September 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Preferred: November 15
Deadline: June 1
Application Requirements
1. GRE General Test
2. Three Letters of Recommendation
3. Statement of Goals and Research Interests
4. Previous College Transcripts
Degree Requirements
Post-Baccalaureate Certificate in Assessment and Evaluation ()
The Post-Baccalaureate Certificate in Assessment and Evaluation is designed for students with strong interests in
classroom assessment and evaluation. The certificate requires a minimum of 15 graduate credit hours.
Master of Arts (M.A.)
The Measurement, Statistics and Evaluation M.A. degree program requires a minimum of 30 credit hours. Both thesis and
non-thesis options are available. A written comprehensive examination is required for both options and a research paper is
required for the non-thesis option. No M.Ed, degree option is currently offered.
Doctor of Philosophy (Ph.D.)
The Ph.D. program requires both preliminary and comprehensive examinations; the comprehensive examination is designed
to assess broad, integrated understanding as well as the student's specialization. A minimum of 30 credit hours, including
dissertation credit, must be taken following admission. All students are expected to engage in research. Measurement,
Statistics and Evaluation does not currently offer the Ed.D. degree.
154
Certificate in Measurement, Statistics and Evaluation ()
The Certificate in Measurement, Statistics and Evaluation is designed to provide advanced training in quantitative methods
for graduate students majoring in other doctoral programs. The certificate requires a minimum of 24 graduate credit hours. In
addition, an advisor must be selected from members of the Measurement, Statistics and Evaluation faculty.
Facilities and Special Resources
The Department maintains computer equipment with up-to-date statistical software packages. The faculty are actively
engaged in a large variety of basic and applied research projects and students are encouraged to become involved in these
activities. The Washington and Baltimore areas have numerous organizations that provide opportunities to become involved
in projects that have national importance.
Financial Assistance
Graduate teaching assistantships, research assistantships, and fellowships are available. The Department can usually aid
students in locating part-time employment opportunities, both on and off campus, as well as providing funding from its own
contracts and grants.
Contact Information
For additional information and application procedures, please visit our web site: www.education.umd.edu/EDMS/
Eileen Kramer, Graduate Coordinator
1230 Benjamin Building University of Maryland College Park
MD 20742
Telephone: (301) 405-8432
Fax:(301)314-9245
EDMS
www.education.umd.edu/EDMS/
Courses: EDMS
Education: Policy Studies (EDPS)
Abstract
The Department of Education Policy Studies (EDPS) in the College of Education promotes critical and discipline-based
studies of education policies and practices; encourages thoughtful and responsive explorations of education and related
social issues; and fosters innovative and collaborative efforts to inform education policy at all levels of government.
Graduates pursue professional roles in university teaching and research, fill policy and leadership positions in public and
private educational institutions, and work as specialists and advocates in governmental and non -governmental agencies.
The Department offers graduate programs of study leading to the M.A. and Ph.D. Although EDPS is primarily a graduate
program, it also offers a series of undergraduate courses that fulfill specific University and College requirements. Examples
include: EDPL 201 , Education in Contemporary Society, an elective course approved to meet the campus diversity
requirement; EDPL 210, Historical and Philosophical Perspectives on Education, a course that meets the university general
core requirement in the social sciences; and EDPL 301, Social Foundations of Education, a required course for education
majors.
Our three areas of specialization (Curriculum Theory and Development, Socio-cultural Foundations of Education, and
Education Policy) offer graduate students an intellectually engaging array of courses to develop programs tailored to their
interests and faculty expertise. When completing applications for admission to graduate study, you must indicate the specific
program area to which you are seeking admission. In addition we will be adding a specialization of Organizational
Leadership and Policy Studies (OLPS). Please check the department website for more updated information.
1 . Curriculum Theory and Development provides grounding in a broad range of theoretical perspectives that guide the work of curriculum
deliberation, policymaking, and practice in schools, colleges, and other organizations.
2. Socio-cultural Foundations of Education provides an opportunity to develop a multidisciplinary program that examines education issues
from the perspectives of economics, history, philosophy, political science, cultural studies, anthropology, and sociology.
3. Education Policy provides an opportunity to examine the processes of policymaking, implementation, and evaluation, from multiple
perspectives, particularly as they are related to enduring social and education issues.
The faculty in the Department of Education Policy Studies bring the disciplines of economics, political science, history, philosophy, sociology,
cultural studies, and curriculum theory to the study of education. They are committed to the preparation of professionals who are able to
apply a range of theories and disciplinary perspectives to the enterprise of education in governmental and non-governmental agencies.
Admissions Information
To be recommended for full admission to a doctoral or master's program, a minimum undergraduate grade point average of
3.0 is required. A minimum graduate grade point average of 3.5 is required for doctoral programs. Of the three scores on the
Graduate Record Examination (verbal, quantitative, analytic), at least one should be at the 70th percentile or higher for PhD
applicants (50th percentile or higher for master's applicants) and none should be under the 50th percentile for PhD
applicants. If the Miller Analogies Test is used, the score should be at least at the 70th percentile for PhD applicants (50th
percentile for master's applicants). Students who do not meet one of these requirements, but show other evidence of
155
outstanding potential, may be considered for provisional admission. Admission of qualified applicants is based on their
competitive ranking to limit enrollments to available faculty resources.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: November 15
Deadline: June 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: November 15
Deadline: June 1
Application Requirements
• 3 Letters of Recommendation
• Official transcripts from each college or university previously attended
• Statement of Goals, Research Interests and Experiences
• Scholarly writing sample for all doctoral applicants
• GRE or Miller Analogy Test
It is strongly recommended that prospective students talk with program coordinators and faculty, and visit the Department and classes, to
help determine if the Department's programs are appropriate to their academic interests and professional goals
Degree Requirements
Doctor of Philosophy (Ph.D.)
The Ph.D. degree requires 90 credits beyond a Bachelor's level degree, some of which may be satisfied by prior study. In
addition to major and elective courses, this includes 12 to 18 credits in research methods and 12 credits of dissertation
research. After students have completed most of their course work, the equivalent of 12 hours of comprehensive
examination is required. The comprehensive exam may take a variety of forms, such as take-home conceptual essays,
literature reviews, or research papers. Your faculty advisor will help you develop a program of study that will help you fulfill
your degree requirements, both coursework and examinations, that are consistent with University guidelines. The Doctoral
program integrates theory, research, and practice, and students are expected to demonstrate high standards of scholarship
and the ability to engage in independent research.
Master of Arts (M.A.)
The Department offers the Master of Arts (M.A.) degree at the Master's level. The M.A. degree requires 30 credits beyond a
Bachelor's level degree. Beyond the successful completion of coursework, students must also complete six hours of
comprehensive examination and a seminar paper or thesis. In addition, the Department currently offers a Master of Arts
degree in conjunction with the faculty in Jewish Studies. Students interested in this cross-departmental option should
discuss it with your faculty advisor. All degree programs have expectations that the student demonstrate high standards of
scholarship and the ability to engage in independent research. Students must either write and defend a thesis, or complete
at least one seminar paper (non-thesis option). The College of Education requires that all master's candidates take the
research course EDMS 645.
Facilities and Special Resources
Faculty and students in the Department work closely with area schools, colleges, universities, associations and other
education-related organizations. Extensive resources in the Washington, D.C., area, including embassies and other
international organizations, provide exceptional opportunities for internships and field experiences, research, and materials
to enhance formal course experiences. Associated with the Department are the Center for Education Policy and Leadership
(CEPAL) and the International Center for Transcultural Education.
Financial Assistance
The Department has a very limited number of merit-based fellowships and graduate assistantships available to students.
Fellowships are awarded to doctoral students in February only for the following fall semester. Assistantships are also
awarded in the spring for the following fall semester, but occasionally an assistantship may become available at another
time of year. Both fellowships and assistantships are awarded on a competitive basis. It is unrealistic to expect that all
applicants who apply for financial aid will receive such assistance even if they are recommended for admission to the
Graduate School. It is to the student's advantage to submit a complete application package well before the published
application deadline if they intend to be considered for a fellowship, assistantship, or other form of financial aid. It is a
requirement that a student be admitted as a condition of eligibility. International students' applications are not considered
complete and may not be reviewed by the Department until they have received International Education Services (IES)
clearance which can take additional time. If you need information about IES clearance visit the IES website at
www.umd.edu/ies.
Contact Information
For additional information and application procedures, please visit our web site: www.education.umd.edu/EDPS/
Department of Education Policy Studies
Room 2110 Benjamin Building, University of Maryland,
College Park
156
MD 20742
Telephone: 301-405-3570
Fax:301-405-3573
www.education.umd.edu/EDPS
Courses:
Education: Policy and Leadership (EDPL)
Education Policy and Leadership (EDPL)
Abstract
As of July 1 , 2007, the department of Education Policy and Leadership (EDPL) was reorganized into Education Leadership,
Higher Education and International Education (EDHI) and Education Policy Studies (EDPS), as described below. The
purpose of this reorganization was to provide greater focus and opportunity for each of the two units to fulfill their missions.
During the transition period, while some areas of the two new department sites are still under construction, the archived
content of EDPL will remain posted at the EDPL web site location (www.education.umd.edu/EDPL). Once the transition is
complete, all relevant information should be available at the two new sites:
Education Leadership, Higher Education and International Education (EDHI) will include the following areas of
specialization:
• Higher Education
• International Education Policy
• Organizational Leadership and Policy Studies
Education Policy Studies (EDPS) will include the following areas of specialization:
• Curriculum Theory and Development
• Socio-cultural Foundations of Education
• Education Policy
Admissions Information
Application Deadlines
Type of Applicant
Application Requirements
Degree Requirements
Financial Assistance
Courses:
Education: Certificate of Advanced Study: Measurement, Statistics, and
Evaluation (Z904)
Abstract
Admissions Information
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
157
Degree Requirements
Financial Assistance
Contact Information
Dr. Gregory R. Hancock, EDMS Department Chair
EDMS, Benjamin Building, Room 1 230D University of Maryland College Park, MD 20742-1 1 1 5
MD 20742-1115
Telephone: 301 .405.3621
Fax: 301 .31 4.9245
ghancock@umd.edu
http://www.education.umd.edu/EDMS/program/EDMScertificate.htm
Courses:
Education: Certificate of Advanced Study: Special Education (Z905)
Abstract
Admissions Information
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
Degree Requirements
Financial Assistance
Courses:
Education: Counseling and Personnel Services (EDCP)
Abstract
The Department of Counseling and Personnel Services offers graduate programs that are designed to provide the
knowledge and skills needed for practice and scholarship in counseling and related human service professions. These fields
are concerned with assisting people individually, in groups and in organizations to attain their optimal level of personal,
social, educational and career functioning. Graduates are employed in a variety of settings including schools, colleges and
universities, mental health agencies, rehabilitation agencies, correctional facilities, business and industry, government
agencies, other community service facilities and private practices. These professionals may serve any of several roles either
at the practitioner's level or at an advanced level as researchers, educators, supervisors, psychologists, counselors, or
program administrators.
Master's level professional entry-level programs are offered in four areas of specialization: 1) The School Counseling
program prepares students to become school counselors in elementary, middle and high school settings. School counselors
provide individual and group counseling to school-aged children, coordinate pupil services in schools and function as
consultants to classroom teachers, school administrators and parents. 2) The Specialist-level School Psychology program is
a combined Masters/Advanced Graduate Specialist program that leads to State (MSDE) and National (NCSP) certification
as a school psychologist. The Program stresses the application of psychological knowledge from a variety of theoretical
orientations to address school-related issues and problems. (The Specialist-level School Psychology Program is NOT
accepting applications for Fall 2010.) 3) The College Student Personnel program prepares specialists for service in higher
education settings as counselors and as administrators of student affairs services. 4) The Rehabilitation Counseling program
prepares counselors to work with persons who have mental, emotional, or physical disabilities.
The Ph.D. degree in Counseling and Personnel Services is offered in four areas of specialization: 1) Counseling Psychology
(in collaboration with the Psychology Department), 2) School Psychology, 3) College Student Personnel Administration, and
4) Counselor Education. Doctoral studies prepare students to achieve exceptional competence in the theory and practice of
their field; to develop a high level of skills as researchers, educators and administrators; and to assume positions of
leadership in various relevant settings. Students in the specialization of Counseling Psychology are prepared to work as
educators, psychologists, and supervisors in such settings as academic departments, college and university counseling
centers, and community mental health agencies. Doctoral -level school psychologists serve as advanced level practitioners,
158
supervisors, administrators, researchers and school psychology faculty. Students in College Student Personnel
Administration are prepared to assume leadership positions as administrators of college or university student personnel
services or as faculty and researchers of college student personnel work. Doctoral students in Counselor Education are
prepared to assume roles as educators, supervisors, or researchers in school counselor or rehabilitation counselor
education programs. Program accreditation within CAPS include: The School Psychology and Counseling Psychology
doctoral programs, which are accredited by the American Psychological Association. The Rehabilitation Counseling Masters
(M.A. or M.Ed.) Program is accredited by the Council on Rehabilitation Education. The Masters (M.A. or M. Ed.) Program in
School Counseling and the Ph.D. Program in Counselor Education are accredited by the Council for Accreditation of
Counseling and Related Educational Programs (CACREP). Both the Specialist Program in School Psychology and the
Master's (M.A. or M.Ed.) Program in School Counseling are approved for certification by the Maryland State Department of
Education and are accredited by the National Council for Accreditation of Teacher Education. The Specialist School
Psychology Program is approved also by NASP.
Admissions Information
Applicants for regular admission to master's degree programs must have an undergraduate GPA of at least B (3.0 on a 4.0
scale) and must submit their scores on either the Miller Analogies Test or Graduate Record Examination (required for
School Psychology M.A./A.G.S. program). Applicants should check with their area of concentration to determine which test
is required.
Applicants for M.A. and M.Ed, programs in Rehabilitation Counseling is not accepting admission application for the fall 2012-
2013.
Applicants' undergraduate programs must include at least 15 semester hours of coursework in behavioral science fields
(anthropology, education, psychology, sociology and/or statistics).
Applicants for admission to A.G.S. and Ph.D. programs in Counselor Education and College Student Personnel must have a
master's degree in school counseling or rehabilitation counseling or in college student personnel, respectively. A grade point
average of 3.5 in prior graduate work is required with an acceptable score on the Graduate Record Examination. Selective
screening of qualified applicants is necessary in order to limit enrollment.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: December 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 15
Application Requirements
1 . GRE required for College Student Personnel, School Psychology, Counseling Psychology, School Counseling, and Counselor Education.
2. 3 Letters of Recommendation
3. Statement of Goals
Degree Requirements
Advanced Graduate Specialist Certificate (A.G.S. Certificate)
The A.G.S. certificate is offered in some of the Department's areas of specialization. For individuals who hold a master's
degree in counseling or a closely related field, this certificate program may serve: 1) to provide the additional education
required for professional certification or licensure in those specialty areas that require a program of two year's length, and/or
2) to provide the academic background for an advanced level of professional practice within a specialty area.
Master of Arts or Master of Education (M.A. or M.Ed.)
Professional entry-level programs of two types are offered, depending on the area of specialization: 1 ) a master's degree
program (M.A., thesis required; M.A. non-thesis with Master's paper required; or M.Ed., thesis not required), or 2) an
integrated Master's/Advanced Graduate Specialist (M.A./A.G.S.) program. The applicant should contact the Department for
further information concerning the entry-level requirements and curriculum of each area of specialization.
Doctor of Philosophy (Ph.D.)
Ph.D. students are expected to attain advanced skills as both practitioners and researchers in their area of specialization. All
doctoral students are required to take advanced courses in statistics and research design. Because of the highly specialized
nature of each of the doctoral programs, applicants should contact the Department or consult the program web page for
program of interest. The brochure describes specific course and fieldwork requirements, the nature of the examination
required for completion of the program, and the dissertation requirements. This same information can also be found at each
program's website (see below).
Facilities and Special Resources
All master's, A.G.S., and doctoral students are required to include supervised fieldwork experiences in their degree
programs. The Department has excellent cooperative relationships with the Division of Student Affairs (including such offices
as the Career Development, Counseling Center, Campus Activities, the Student Union, Resident Life and Commuter
Affairs), with units in Academic Affairs (such as Advising, Admissions, and Orientation) and with units in University College.
Fieldwork may also be done at a wide variety of school systems, colleges and universities, counseling services and mental
health agencies in the Baltimore/Washington metropolitan area, or nationally.
159
In addition to campus and Department resources, students also utilize the many major research and professional institutions
that are easily accessible to the campus. These include the Library of Congress, the National Library of Medicine, the
National Institutes of Health, the Institute of Education Sciences, professional associations such as the American Counseling
Association, the American Psychological Association, and the National Association of School Psychologists.
Financial Assistance
The Department, and its faculty, offers graduate research, teaching and administrative assistantships on a selective basis to
both masters and doctoral students. The Department also assists its students in finding assistantship placements with a
variety of on-campus and off-campus units, in addition, a small number of new Ph.D. students are offered highly selective
fellowships funded jointly by the Department and the University.
Contact Information
For additional information and application procedures, please visit our web site: www.education.umd.edu/EDCP/
Counseling and Personnel Services Dept.
3214 Benjamin Building Counseling & Personnel Services
College Park
MD 20742
Telephone: (301) 405-2858
Fax:(301)405-9995
caps@umd.edu
http://www.education.umd.edu/EDCP/
Courses: EDCP
Related Programs and Campus Units
Psychology
Psychology
Student Affairs
Counseling Center
Education: Human Development
Human Development (Institute for Child Study)
Education: Human Development (EDHD)
Abstract
The purposes of the Human Development graduate programs are to contribute to basic knowledge about human
development and learning and apply this knowledge in various settings. The general areas of human development covered
in courses and research include infant and early childhood development, child development, adolescent development,
developmental science, and educational psychology. Specific faculty areas of expertise include achievement motivation,
cognitive development, language development, peer relationships, teacher-student relationships, moral development, social
development, temperament, parenting, developmental neuroscience, civic education, prejudice and discrimination, early
childhood policy, and the role of culture on development.
Graduate programs in Human Development lead to the Master of Education, Master of Arts, and Doctor of Philosophy
degrees. The research-oriented M. A. (with thesis)and the Ph.D. degree programs in human development are designed to
develop studentsscientific knowledge of human development and ability to carry out original research projects. The M.Ed,
and M.A. without thesis programs are designed to develop competencies in identifying implications of the scientific
knowledge of human development for specific situations and contexts, particularly elementary and secondary schools.
Human Development offers two specialization areas of study at the doctoral level, Educational Psychology, and
Developmental Sciences. The graduate programs and specializations prepare graduates for faculty positions at universities
or research positions at institutions where research in developmental science and educational psychology is conducted.
Graduates of our program have obtained positions as university professors, research scientists, program analysts, and other
research-oriented occupations including research-oriented professionals in private, policy, or advocacy organizations.
Admissions Information
The College of Education and Graduate School require a minimum GPA of 3.0 (on a 4.0 scale) at the undergraduate level.
At the master's level, a minimum GPA of 3.5 is required by the College of Education. The general Graduate Record Exam
(GRE) is required by the Department. Three letters of recommendation including evidence of academic potential from
university faculty references are required. In addition, students must write a statement of purpose which indicates a match
between student research interests and faculty expertise. Students should indicate their research interests, describe any
relevant research experience, and how their experience and interests can be met by our program.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: March 15
Preferred: December 15
Deadline: October 1
160
Type of Applicant
Fall
Spring
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 15
Preferred: November 15
Deadline: June 1
Application Requirements
1. GRE General
2. 3 Letters of Recommendation
3. Statement of Goals
Degree Requirements
Master of Arts (M.A.)
The M.A. program requires 30 credit hours and offers both a thesis option (24 hours of courses plus 6 hours of thesis) and a
non-thesis option (24 hours of courses plus 6 hours of supervised placement in an organization and accompanying
papers). Courses in biological, social, cognitive, and personality development and in quantitative methods and a written
comprehensive examination are required for all master's degrees.
Master of Education (M.Ed.)
The Master of Education degree in Human Development has the following requirements: Minimum of 30 semesters of
coursework, including EDMS 645. A minimum of 15 hours in courses numbered 600-800, with the remainder in the 400
series or above. Required courses focus on biological, social, cognitive, and personality development and in quantitative
methods. A written comprehensive examination and seminar paper are required to be taken at the end of the coursework.
Doctor of Philosophy (Ph.D. )
The Ph.D. degree requires 72 hours of credit which includes 12 dissertation credits. Courses in biological, social, and
cognitive development and in intermediate statistics and research methods are required. Students also receive credit for
research experiences. Slight modifications of these requirements characterize the Specializations in Educational Psychology
and Developmental Sciences. Students are also required to complete a comprehensive examination portfolio prior to
advancement to candidacy.
Master of Education in Partnership with MCPS (M.Ed.)
The Master of Education in Partnership with MCPS is restricted to middle and high school educators who teach in
Montgomery County Public Schools. Applicants must be certified to teach. This is not a certification program. This Human
Development Master of Education Program is unique in that its curriculum is designed to respond to developmental and
motivational challenges faced by secondary teachers working with adolescents. The program uses a cohort model. Each fall
a new cohort of students begins the program and the program runs for five continuous semesters. To graduate students
must successfully complete 30 credits of study, a comprehensive exam, and a seminar paper.
Facilities and Special Resources
The Washington, D.C. area and the University of Maryland are rich in resources for graduate study in human development.
The faculty of the Department is multi-disciplinary, representing the broad range of developmental sciences, educational
psychology, and related fields. There are programs of funded research, field service programs, and internship experiences
available in cooperation with agencies and schools. The Department sponsors the Center for the Study of Children,
Relationships, and Culture, the Maryland Literacy Research Center, and manages the on-campus Center for Young
Children. Students in the College of Education have access to the latest technology through Educational Technology
Services.
Financial Assistance
Students requesting consideration for Financial Aid, in addition to completing the financial aid form found in the Graduate
Admissions application, must submit their application by the priority deadline. All students who submit their application by
December 15 will automatically be reviewed for any departmental aid. University fellowships, NIH traineeships, and
Departmental assistantships are awarded on a competitive basis - more students are admitted than can be awarded
funding. In recent years, only students with undergraduate GPA's of 3.6, GRE scores above the 70th percentile, and strong
letters of recommendation from academic references have been successful in obtaining Recruitment Fellowships sponsored
by the Graduate School and graduate assistantships in the Department.
First priority for Departmental assistantships goes to students already admitted to the Department who have been assured
financial assistance for the full course of their study. Almost all awards of fellowships and assistantships are based on
previous academic performance, with little attention to need. In addition, some faculty have external grants which provide
support for graduate students. Students who do not receive a fellowship or assistantship from the Department may contact
the University Financial Aid office at 301 -31 4-9000 for information about other sources of financial support.
Contact Information
For additional information and application procedures, please visit our web site: www.education.umd.edu/EDHD/
Graduate Coordinator
Department of Human Development 3304 Benjamin Building
University of Maryland College Park
MD 20742
Telephone: (301) 405-8432
Fax:(301)405-2891
humande v @ umd.edu
161
http://www.education.umd.edu/EDHD
Courses: EDHD EDUC
Related Programs and Campus Units
Maryland Literacy Research Center
Center for Children, Relationships, and Culture
Young Children, Center for
Neuroscience and Cognitive Science
Education: Counseling and Personnel Services
Education: Special Education (EDSP)
Abstract
Graduate programs in special education are designed to prepare highly qualified teachers, to provide graduate level content,
and to prepare researchers, teacher educators, and leaders in the field of special education. We offer the following graduate
program options:
* M.Ed, in Special Education with generic age based certification
* M.Ed, in Special Education with generic age based and severe disabilities certification
* M.Ed, in Special Education with severe disabilities certification only
* M.Ed, in Specialty Program (30 credits)
* M.A. in Special Education (36 credits)
* Advanced Graduate Specialist Certificate (30 credits beyond the master's degree)
* Ph.D. program
Admissions Information
For the M.Ed, programs, students must submit scores on the PRAXIS I test (meeting the state of Maryland passing scores)
prior to admission into the department and have an undergraduate 3.0 GPA. The Masters of Arts program requires a 3.0
undergraduate GPA and the submission of the Miller Analogies Test or the Graduate Record Examination test scores at or
above the 40th percentile rank. The AGS program requires a 3.0 undergraduate GPA, a master's GPA of 3.5, and
submission of scores on the MAT, GRE, or Praxis 1 test. Admission to the doctoral program requires a 3.5 grade point
average in previous graduate studies, a 3.0 undergraduate GPA, and at least a 50 percentile on the Graduate Record
Examination. Students pursuing teacher certification in special education are required to take courses required by the
Maryland State Department of Education which lead to certification in the State of Maryland. Programs for the Master's
specialty program, the AGS, and the Ph.D. are planned individually by the students and advisor to reflect each student's
background and goals.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: March 1
Deadline: September 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Deadline: June 1
Application Requirements
1 . GRE for Ph.D., Miller Analogies or GRE General for M.A., Praxis I for M.Ed, or A.G.S. (at State of Maryland cut scores) 2.
Three Letters of Recommendation 3. Statement of Goals 4. Transcripts from all previously attended colleges and
universities
Degree Requirements
Doctor of Philosophy (Ph.D.) (Ph.D. )
The Ph.D. in special education is targeted primarily toward research and educational leadership. The selection of a major
concentration in learning disabilities, behavior disorders, severe disabilities, early childhood special education,
secondary/transition special education, and policy studies for individuals with disabilities achieves these goals. A variety of
minor specializations taken outside the Department is also possible. Content course work in the areas of administration and
policy studies is developed in collaboration with other departments in the college and university.
Students pursuing the doctoral program in special education must have completed the Master of Arts degree or the Master
of Education degree in special education or a related area. A student in the doctoral program will generally complete a
minimum of 90 hours of graduate study (including up to 30 credits from a student's master's program) of which 30 to 40
hours will be in the major field. Candidates must meet doctoral competencies in research, teaching, and professional
practice and in an area of concentration listed above that fulfill their professional goals. A one year residency requirement is
necessary for graduation. Students should consult the Department website on Graduate Programs for more information.
Advanced Graduate Specialist Certificate (A.G.S.)
The Advanced Graduate Specialist certificate in special education is available to students who wish to take graduate
162
courses beyond the master's degree. The minimum number of graduate hours is 60 (of which no more than 30 credits can
be applied from another institution). The core of the program consists of special education courses in addition to other
coursework within the university as approved by the student's adviser and the special education graduate faculty. The
College of Education awards the certificate.
Masters of Education or Masters of Arts (M.Ed, or M.A.) (M.Ed, or M.A.)
Students enrolled in the master's program in special education may earn the Master of Arts degree or the Master of
Education degree. For students who do not wish to obtain teacher certification, basic course requirements are similar for
either program except for M.A. thesis requirements (6 credits of EDSP 799). The student determines with his or her adviser
the specific program and coursework required according to the student's background and career plans.
Facilities and Special Resources
The Special Education program provides an unparalleled setting for graduate study. The program's proximity to outstanding
public schools in Maryland provides students who wish to pursue teacher certification the chance to gain experience with a
culturally and linguistically diverse student population in urban, suburban, and rural settings.
Additionally,, students pursuing a doctoral degree can have experiences in advocacy and professional organizations,
government agencies, including the US Department of Education in addition to the coursework they take at the University.
Financial Assistance
A limited number of fellowships, assistantships and/or grants are available to qualified applicants.
Contact Information
For additional information and application procedures, please visit our web site: www.education.umd.edu/EDSP/
Dr. Joan Lieber
1308 Benjamin Building
Department of Special Education University of Maryland College Park,
MD 20742
Telephone: (301) 405-6467
edspgrad @ deans.umd.edu
http://www.education.umd.edu/EDSP/
Courses: EDSP
Engineering: Aerospace Engineering (ENAE)
Abstract
The Aerospace Engineering Department offers a broad program in graduate studies leading to the degrees of Master of
Science (thesis and non-thesis) and Doctor of Philosophy. Graduate students can choose from the following areas of
specialization: aerodynamics and propulsion; structural mechanics and composites; rotorcraft; space systems; and flight
dynamics, stability and control. Within these disciplines, the student can tailor programs in areas such as computational fluid
dynamics, aeroelasticity, hypersonics, composites, smart structures, finite elements, space propulsion, robotics, and human
factors.
Admissions Information
Applicants should have a B.S. degree in Aerospace Engineering (or in a closely related field) with a minimum GPA of 3.2/4.0
from an accredited institution. Applicants with a marginal academic record may be conditionally approved for admission to
the M.S. program if other evidence of accomplishment is provided (i.e. publications or exceptional letters of
recommendation). Admission to the Ph.D. program requires an academic record indicating promise of the high level of
accomplishment required for the degree. The Graduate Record Examination (GRE) is strongly recommended for admission.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: May 15
Preferred: February 1
Deadline: October 31
Preferred: October 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Preferred: February 1
Deadline: June 1
Preferred: June 1
Application Requirements
1. GRE General highly recommended
2. 3 Letters of Recommendation
Degree Requirements
Master of Science (M.S. )
The M.S. degree program offers both a thesis and a non-thesis option. Both options require 30 credits. At least 12 credits
are to be in the main discipline. No more than 9 credits may be at the 400 level of which no more than 6 credits may be from
163
department courses. For the thesis option, 6 credits of ENAE 799 (Master's Thesis Research) are required as well as the
successful defense of the M.S. thesis. For the non-thesis option, students must write a scholarly paper.
Doctor of Philosophy (Ph.D.)
For the Doctor of Philosophy degree, the department requires a minimum of 36 semester hours of coursework beyond the
B.S. which should include: (1) not less than 18 hours within one departmental area of specialization, (2) at least 6 hours from
among the other areas of specialization in the Department, and (3) not less than nine hours in courses that emphasize the
physical sciences or mathematics. At least 12 semester hours of credits taken to satisfy (2) and (3) must be 600 level or
higher. The student must pass a written qualifying and an oral comprehensive examination and take 12 hours of dissertation
credits.
Facilities and Special Resources
The departmental facilities for experimental research include the Glenn L. Martin Wind Tunnel, the Composites Research
Laboratory, the Space Systems Laboratory, and the facilities of the Center for Rotorcraft Education and Research. The
Glenn L. Martin Wind Tunnel, with its 8-foot high by 1 1 -foot wide test section, has a maximum operating speed of 330 feet
per second. It is used extensively for development testing by industry as well as for research. There are also two smaller
subsonic tunnels and a supersonic tunnel that are used in support of departmental research programs.
The Composites Research Laboratory is located in the newly constructed Manufacturing Center. Its facilities include a
microprocessor-controlled autoclave, a vacuum hot press, a two-axis filament winding machine, an MTS 220 Kip uniaxial
testing machine, an x-ray machine and an environmental conditioning chamber. The laboratory provides for a full spectrum
of specimen and component manufacture, preparation and instrumentation, inspection, and testing.
The Space Systems Laboratory performs world-class research on space operations, with particular emphasis on neutral
buoyancy simulation of space robotics and human factors. The recently completed Neutral Buoyancy Research Facility is a
multi-million dollar laboratory built around a 50-foot diameter by 25-foot deep water tank for simulating the microgravity
environment of space. Six different telerobotic systems are currently under test in this facility, which is one of only two
operating in the United States and the only neutral buoyancy facility in the world to be located at a university.
The facilities of the Center for Rotorcraft Education and Research include two experimental rotor rigs to test articulated and
bearingless rotors in hovering and in forward flight. The hover test facility can accommodate up to a 6-foot diameter rotor. In
addition, the facilities include a 10-foot diameter vacuum chamber to study the structural dynamic characteristics of spinning
rotors in the absence of aerodynamic loads and a three-component laser Doppler anemometer for flowfield measurements.
A new 20-foot by 20-foot by 30-foot anechoic acoustic test chamber is currently under construction for impulsive noise
studies of rotorcraft
Financial Assistance
A number of graduate assistantships and fellowships are available for financial assistance. Graduate teaching and research
assistantships are available beginning at $20,000 per year plus tuition and health benefits. In addition, a number of
fellowships are available, such as Minta Martin Fellowships, Rotorcraft Fellowships, the Hokenson Fellowship, ARCS
Fellowships, and various departmental fellowships and scholarships. These fellowships cover tuition in addition to a stipend.
All full-time applicants are automatically considered for these fellowships.
Contact Information
For more information, please contact the program.
Director of Graduate Studies
3181 Martin Hall
MD 20742
Telephone: (301) 405-2376
Fax:(301)314-9001
aerograd @ umd.edu
http://www.aero.umd.edu
Courses: ENAE
Related Programs and Campus Units
Engineering: Systems Engineering
Engineering: Professional Master of Engineering
Center for Superconductivity Research
Engineering: Bioengineering (BIOE)
Abstract
The Fischell Department of Bioengineering offers research and education opportunities leading to the Doctor of Philosophy
degree and to the MS/MD Masters of Science as a Dual Degree program with the University of Maryland School of
Medicine. It is housed in and administered by the Fischell Department of Bioengineering. The Bioegineering Graduate
Program faculty includes all faculty holding a tenured or tenure-track appointment in the Fischell Department of
Bioengineering, as well as faculty holding Affiliate and Adjunct appointments with the Department. The research interests of
the program faculty are extensive and include biomaterials, bioMEMS, biomechanics, cardiovascular mechanics, cellular
and metabolic engineering, imaging, systems biology, nanobiotechnology, and tissue engineering. Academic departments
participating in the program include, but are not limited to: the Fischell Department of Bioengineering, Biology, Cell Biology
and Molecular Genetics, Chemistry and Biochemistry, Chemical and Biomolecular Engineering, Computer Science,
164
Electrical and Computer Engineering, Materials Science and Engineering, Mechanical Engineering, the University of
Maryland Biotechnology Institute, and the University of Maryland Schools of Medicine and Pharmacy.
Admissions Information
Admission to the Graduate Program in Bioengineering requires a bachelor of science degree in an engineering discipline
from a recognized undergraduate institution. Admission also may be granted to students with a degree in another scientific
discipline, such as biology, chemistry, physics, or mathematics. In some cases, students may be required to take
undergraduate courses to rectify deficiencies in their background before they will be given permission to enroll in the
required core graduate courses. Because of the structure of the first year curriculum, students seldom are admitted to begin
the Ph.D. program in the spring semester. In addition, students are rarely admitted that only wish to pursue a master's
degree. Therefore, applicants are strongly encouraged to apply for fall admission to the Ph.D. program.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: December 1
Preferred: December 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 1
Preferred: December 1
Application Requirements
1. Online Application
2. Statement of Goals, Research Interests and Experiences (on-line submission required)
3. 3 Letters of Recommendation (on-line submission required)
4. Complete set of official transcripts reflecting all undergraduate and graduate work completed or in progress
5. Official GRE General Exam score report
6. Official TOEFL score report (if applicable)
7. Maryland In-State Status Form (if wish to apply for Maryland resident status)
Degree Requirements
Master of Science/Doctor of Medicine (M.S./M.D.)
This is a dual degree program with the University of Maryland, Baltimore School of Medicine. Students applying to the M.S.
Program in Bioengineering must first be admitted to the M.D. program in the School of Medicine. The objective of this
program is to broaden to educational and research scope of medical doctors in significant fields of bioengineering. Thus, the
program should be attractive to those clinicians interested in areas including clinical research, biomaterials, biomedical
imaging, medical device innovation, medical device development, and drug development. Graduates of the combined
program will receive a Doctor of Medicine degree from the University of Maryland School of Medicine as well as a Master of
Science degree from the A. James Clark School of Engineering at the University of Maryland, College Park.
Doctor of Philosophy (Ph.D.)
The Ph.D. program consists of 45 credits including required, restricted, and unrestricted elective courses, a research
aptitude examination (RAE), an oral defense of a written dissertation research proposal, and a preparation and oral defense
of a publication-quality dissertation that advances the field. All students must take the following three Bioengineering
courses (9 credits): BIOE 601 Rate Processes in Biological Systems, BIOE 604 Transport Phenomena in Bioengineering
Systems, and BIOE 612 Physiological Evaluation of Bioengineering Designs. Students are also required to take two
restricted electives (6 credits) and 3 unrestricted electives (9 credits) in order to fulfill course requirements. A complete list of
acceptable electives may be obtained from the BIOE Graduate Program website. The laboratory rotation courses BIOE
605/606 (2credits) and the Bioengineering Seminar Series BIOE 608 (1 credit) are also required. Attendance at all
Bioengineering seminars is expected throughout the graduate student's career, irrespective of whether the course is taken
for credit or not. Additionally, a total of 18 credit hours of Dissertation Research credits must be taken (BIOE 899).
Qualification for advancement to candidacy requires that students earn a GPA of 3.0 or better in each of the core courses
and pass the Research Aptitude Examination. If a student receives a C in a core course, then it must be repeated. All
students entering the Ph.D. program must take the Research Aptitude Examination held in January, prior to the second
semester of their first year. The date and time of the examination will be announced by the graduate program before the end
of the Fall semester. The dissertation proposal, with oral presentation, must be completed by the end of the third year.
Master of Science (M.S.)
Students who have been accepted into the PhD program and are unable to satisfy the PhD requirements may complete a
M.S. degree. There is no direct admission into the M.S. program.
Facilities and Special Resources
The Department has access to well-equipped bioengineering research laboratories and associated departmental facilities of
its faculty. In addition, there are core facilities available for bioengineering research. Animal facilities are available if
necessary.
Financial Assistance
Graduate research assistantships typically support qualified Ph.D. students. Graduate fellowships also are available on a
competitive basis to both entering and continuing Ph.D. students. Typically only those Ph.D. students who enter the program
165
in the fall semester are eligible for fellowships. We are unable to provide financial support to students in our master's degree
program.
Contact Information
Please contact the program directly for program description, admission requirements, and financial aid information.
Graduate Program in Bioengineering
2330 Jeong H. Kim Engineering Building University of Maryland
College Park
MD 20742
Telephone: (301) 405-7426
Fax:(301)405-9953
bioe-grad@deans.umd.edu
http://www.bioe.umd.edu
Courses: BIOE
Related Programs and Campus Units
Chemical Engineering
Mechanical Engineering
Graduate Studies and Research
Biological Resources Engineering
Neuroscience and Cognitive Science
Engineering: Materials Science and Engineering
Engineering: Chemical Engineering (ENCH)
Abstract
The Chemical and Biomolecular Engineering Department offers educational opportunities leading to a Doctor of Philosophy
degree or Masters of Science degree in Chemical Engineering. Both degrees require a written thesis and an oral
examination on the thesis. Our faculty research interests cover a wide array of subject matter and is well-equipped for
graduate research in; aerosol science and engineering, biochemical engineering, computational modeling, fluid mechanics
and mixing, fuel cell technology, metabolic engineering and systems biology, nanoparticle technology, polymer processing
and characterization, polymer reaction engineering, process control, thermodynamics and transport phenomena, and
systems research. The Department maintains a distributed computing network consisting of research laboratories and a PC
laboratory. Major research facilities including electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, and
NMR are coordinated through a variety of laboratories.
Admissions Information
The programs leading to the Master of Science and Doctor of Philosophy degrees are open to qualified students holding the
Bachelor of Science degree. Admission may be granted to students with degrees in other engineering and science areas
from accredited programs, and it may be necessary in some cases to require courses to establish an undergraduate
Chemical Engineering background. The general regulations of the Graduate School apply in reviewing applications.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 15
Preferred: January 15
Deadline: June 1
Preferred: June 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Preferred: February 1
Preferred: May 1
Application Requirements
1 . Completed Application Form
2. Statement of Purpose
3. 3 Letters of Recommendation
4. One complete set of official transcripts reflecting all undergraduate and graduate work completed or in progress
5. Official GRE Score for General Exam
6. Official TOEFL Score (if applicable)
7. Application Fee
Degree Requirements
Master of Science or Master of Engineering (M.S. or M.E.)
A minimum of 30 credit hours of course work in technical areas relating directly to chemical engineering is required for the
M.S. degree, 6 of which are devoted to thesis research. All students seeking graduate degrees in Chemical Engineering
must enroll in ENCH 610, 620, 630, and 640 if they have not completed equivalent courses. In addition to Graduate School
regulations, special degree requirements (including core course GPA requirements) are described at the Chemical
Engineering Department website: www.ench.umd.edu.
166
Doctor of Philosophy (Ph.D.)
The Doctor of Philosophy degree is granted only upon sufficient evidence of high attainment in scholarship and the ability to
engage in independent research. The Chemical Engineering Department requires minimum of 45 semester hours of course
work beyond the B.S. degree. A minimum of 18 credit hours of Thesis Research is required; students in the PhD program
can register only for ENCH 899 Thesis Research. In addition to Graduate School regulations, special degree requirements
include a research aptitude Ph.D. qualifying examination and a research proposal including an oral presentation covering
the projected Ph.D. dissertation. All Ph.D. graduate students are required to serve as Teaching Assistants for two
semesters. Other requirements, including CORE course GPA requirements are found on the Department website:
www.ench.umd.edu.
Facilities and Special Resources
A number of special facilities are available for graduate study and research and are coordinated through the Polymer
Reaction Engineering Laboratory, the Chemical Process Systems Laboratory, the Laboratory for Mixing Studies, the
Thermophysical Properties Laboratory, the Laboratory for Biochemical Engineering and the Biochemical Reactor Scale Up
Facility. These laboratories contain advanced process control computers, polymer processing equipment and polymerization
reactors, polymer characterization instrumentation, fermentors, a laser Doppler anemometry facility, and an aerosol
characterization facility.
Financial Assistance
Graduate research assistantships typically support qualified Ph.D. students. Graduate fellowships are available on a
competitive basis to both entering and continuing Ph.D. students. Typically only those Ph.D. students who enter the program
in the Fall semester are eligible for fellowships. We are unable to provide financial support to students in our masters degree
program.
Contact Information
For more specific information on the graduate program, contact:
Graduate Coordinator
2113 Chemical and Nuclear Engineering Building
MD 20742
Telephone: (301) 405-5888
Fax:(301)405-0523
enchgrad @ deans.umd.edu
http://www.chbe.umd.edu/
Courses: ENCH ENCH
Related Programs and Campus Units
Engineering: Systems Engineering
Engineering: Professional Master of Engineering
Center for Superconductivity Research
Engineering: Civil and Environmental Engineering (ENCE)
Abstract
The Department of Civil and Environmental Engineering offers graduate courses leading to the Master of Science and
Doctor of Philosophy degrees. All programs are planned on an individual basis by the student and an adviser taking into
consideration the student's background and special interests. Areas of concentration at both the master's and doctoral levels
include: transportation engineering, environmental engineering, water resources engineering, structural engineering,
geotechnical engineering, and project management.
Admissions Information
Applicants for admission should hold a B.S. degree in civil engineering. However, applicants with undergraduate degrees in
other disciplines may be accepted with the stipulation that deficiencies in prerequisite undergraduate coursework be
corrected before enrolling in graduate courses. In addition to the requirements set forth by the Graduate School, applicants
must have a minimum GPA of 3.0 to apply to the Master's Program, and a minimum GPA of 3.5 to apply to the Doctoral
Program. Applicants with lower GPA's may be considered and accepted in a provisional basis if other indicators of ability are
exceptional (letters of recommendation, GRE scores, prior experience ...). Applicants are also required to submit results
from the Graduate Record Examination. There are no entrance examinations required for the program.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: May 1
Preferred: December 1
Deadline: October 15
Preferred: September 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Deadline: June 1
167
Application Requirements
1. GRE General
2. 3 Letters of Recommendation
3. Official Transcripts
4. Statement of Purpose
Degree Requirements
Master of Science or Master of Engineering (M.S. or M.E.)
The M.S. degree program offers both a thesis and non-thesis option. In addition to an M.S. degree, the department also
offers a Master of Engineering (M.E.) degree. The Department's policies and requirements are the same as those of the
Graduate School.
Doctor of Philosophy (Ph.D.)
The requirements for the Ph.D. degree are also the same as those of the Graduate School. The student will work closely
with an adviser to develop an approved program of study suited to his or her individual needs. Before admission to
candidacy, the student must pass a qualifying examination, which is normally taken after the coursework is at least 75
percent completed. There is no language requirement for the Ph.D.
Facilities and Special Resources
Departmental research facilities include laboratories in the following areas: transportation, systems analysis, environmental
engineering, hydraulics, remote sensing, structures, and soil mechanics. Graduate students have convenient access to a
spectrum of computer facilities, including networked personal computers and workstations, specialized computer-aided
design, graphics, and visualization laboratories, campus mainframe computers, and remote supercomputer facilities.
The Washington and Baltimore metropolitan areas are easily accessible for data, field studies, library access, contacts with
national organizations, and attendance at national meetings. The location of the University of Maryland offers a unique
opportunity to obtain an advanced degree in civil engineering.
Financial Assistance
Research assistantships are available from individual faculty members. Only a limited number of teaching assistantships are
available. Part-time work as grading assistants is available as well.
Contact Information
Graduate Office
Department of Civil and Environmental Engineering, 1 1 73 Glenn L. Martin Hall
University of Maryland
MD 20742
Telephone: (240) 988-6708
Fax:(301)405-2585
ence-admissions@umd.edu
http://www.ence.umd.edu/grad/index.php
Courses: ENCE ENCE
Related Programs and Campus Units
Engineering: Systems Engineering
Engineering: Professional Master of Engineering
Center for Superconductivity Research
Engineering: Electrical & Computer Engineering (ENEE)
Abstract
The Department of Electrical and Computer Engineering (ECE) at the University of Maryland, College Park offers one of the
strongest and most highly-ranked programs in the nation. Led by 89 full-time and affiliate faculty members and 50 research
faculty and postdocs, the research programs of the department cover a wide spectrum of activities in the areas of:
* Communications and Networking
* Signal Processing
* Control, Robotics, and Dynamical Systems
* Computer Engineering
* Optics and Photonics
* Circuits and Systems
* Electronic Materials and Devices
* Bioelectronics and Systems
* Applied Electromagnetics
Our close affiliation with a number of research institutes such as the Institute for Systems Research, the Institute for
Advanced Computer Studies, the Institute for Research in Electronics and Applied Physics, the Institute for Physical Science
and Technology, and the Maryland Center for Integrated Nano Science and Engineering provides to our students and
researchers the opportunity for team-oriented, cross-disciplinary research and access to the institutes' state-of-the-art
laboratories.
168
ECE is a large department that offers a broad range of programs and research opportunities. Its research innovations are
aimed at helping government and industry face today's most difficult global challenges. Employers and peer institutions
recognize the prestige of Maryland's engineering programs.
Maryland's proximity to Washington, DC, offers unique research opportunities with national and government laboratories
such as NASA's Goddard Space Flight Center, the National Institutes of Health, the National Institute of Standards and
Technology, and the Army and Navy Research Labs. No other top Engineering program in the U.S. can provide such close
proximity and access to national laboratories, federal government, and the Department of Defense.
The Department of Electrical and Computer Engineering offers graduate study leading to the Master of Science and Doctor of
Philosophy degrees.
For additional information about the department's programs and research, please visit ece.umd.edu .
Admissions Information
For the most current and detailed information regarding ECE graduate admissions and deadlines, please refer to our ECE
Graduate Admissions web page. Applicants must follow all instructions detailed on this web page.
For admission to the graduate programs in electrical and computer engineering, students must hold an undergraduate
degree in electrical or computer engineering or related field (math, computer science, physics, or other areas of engineering)
and have an overall grade point average of B+ or better. In exceptional cases, students with a lower GPA may also be
admitted. Other criteria include overall academic record, strength of recommendations, GRE score, and adequacy of
preparation. Applicants are competitively judged by a faculty committee.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: May 1
Preferred: December 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Preferred: December 1
Application Requirements
1 . Online Web Application and Supplemental Form (ASF)
2. GRE General
3. 3 Letters of Recommendation
4. Official Transcripts
5. Statement of Goals
Degree Requirements
Master of Science (M.S.)
The M.S. program offers the thesis and non-thesis options. Students must satisfy a course requirement and complete either
a Thesis or Scholarly Paper. For complete details, see the ECE Graduate Handbook .
Doctor of Philosophy (Ph.D.)
Students in the Ph.D. program must complete a course requirement, satisfy a Ph.D. Qualifying Requirement, pass an oral
Ph.D. Research Proposal Examination, and write and successfully defend a Ph.D. dissertation. For complete details, see
the ECE Graduate Handbook .
Facilities and Special Resources
For detailed information on the department's research institutes and laboratories, please see the ECE Research Overview .
Financial Assistance
Financial aid is available to graduate students in the form of research assistantships, teaching assistantships, and
fellowships. Details are available in the ECE Graduate Handbook . Applicants for admission are automatically considered for
these packages provided they mark "yes" for financial assistance on the application form and submit their materials by the
preferred deadline.
Contact Information
Graduate Studies Office
Department of Electrical and Computer Engineering
2434 A.V. Williams Bldg.
College Park
MD 20742
Telephone: (301) 405-3681
Fax:(301)405-8728
ecegradstudies@umd.edu
http://www.ece.umd.edu/
169
Courses: ENEE
Related Programs and Campus Units
Engineering: Systems Engineering
Engineering: Professional Master of Engineering
Neuroscience and Cognitive Science
Engineering: Telecommunications
Engineering: Fire Protection Engineering (ENFP)
Abstract
The Fire Protection Engineering Department offers a diversified program of graduate studies leading to the Master of
Science or the Master of Engineering (Professional Master's) degree. An individual study plan compatible with the student's
interest and background is developed between the student and adviser. Several specialized areas of graduate study are
available. One possible area focuses on engineering principles concerned with fire modeling and combustion behavior, i.e.
the scientific fundamentals of diffusion flame combustion, the mechanics of flame propagation, and the techniques of field or
zone simulation for the prediction of fire development and smoke movement. Another example area of study involves the
application of risk analysis techniques, using predictive and analytical procedures for the quantitative assessment of the
magnitude of fire hazards and the probabilities of potential fire incidents. Related and additional areas of study include
"smart" fire detection, structural fire protection, contents and furnishings flammability, fire and indoor air pollution, regulatory
effectiveness analysis, and performance based codes. These and other topics are available to graduate students on an
individual basis.
Admissions Information
The M.S. and M.Eng. programs are open to qualified students holding the B.S. degree. Full admission may be granted to
students with degrees in any of the engineering and physical science areas from accredited programs. In some cases it may
be necessary to require undergraduate courses to fulfill the student's background. In addition to the Graduate School
requirements, the Graduate Record Examination may be required.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: May 31
Preferred: February 1
Deadline: October 31
Preferred: September 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Deadline: June 1
Application Requirements
1. GRE General
2. 3 Letters of Recommendation
Degree Requirements
Master of Science or Master of Engineering (M.S. or M.E.)
The M.S. degree program requires a thesis and completion of a minimum of 30 credit hours. Individual programs of study
are determined by the student and his or her advisor and the department. In addition to a M.S. degree, the department also
offers a Master of Engineering (M.Eng.) degree which requires 30 credit hours of approved courses in major and minor core
areas. The department's degree requirements are given in detail in its publications.
Facilities and Special Resources
The department provides laboratory facilities for graduate research. The laboratories contain several standard test
apparatus such as the cone calorimeter and LIFT apparatus, smoke measurement and particle obscuration apparatus, salt
water modeling tank, and advanced data acquisition systems. Additional facilities are available through our collaboration
with the Maryland Fire and Rescue Institute (MFRI) and the National Institute of Standards and Technology. The
departmental computer laboratory contains personal computers and an extensive library of fire modeling software for
research related activities. Sun workstations and a DEC-based CAD facility are provided by the Clark School of Engineering.
A mainframe computer in the Computer Science Building is available by remote access from the Department Computer
Laboratory. The university libraries have an extensive fire protection engineering collection. The department has
computerized access to the National Institute of Standards and Technology's Fire Research Library through FIREDOC.
Financial Assistance
Financial aid is available in the form of fellowships and teaching and research assistantships. Research assistantships are
awarded in conjunction with the availability of research funds. Professional firms and governmental agencies in the area
have work-study programs available to graduate students.
Contact Information
Brochures and publications offered by the Department may be obtained by writing to us below. Further information is readily
available via our Internet homepage and world wide web site at http://www. fpe.umd.edu .
170
James A. Milke, Chair
3106 J. M. Patterson Bldg.-
Fire Protection Engineering Department
MD 20742
Telephone: (301) 405-3992
Fax:(301)405-9383
enfpgrad@deans.umd.edu
http://www.fpe.umd.edu/
Courses: ENFP
Related Programs and Campus Units
Engineering: Professional Master of Engineering
Center for Superconductivity Research
University of Maryland - College Park
Engineering: Materials Science and Engineering (ENMA)
Abstract
Materials Science and Engineering is an interdisciplinary program. Students from engineering and science disciplines
receive a solid foundation in the physics and chemistry of materials, thermodynamics and structure of materials, as well as
the latest technological aspects of materials in today's manufacturing environment. Faculty research areas are mainly
concentrated in the development of novel materials for today's electronics, energy, biomedical and high tech industries.
These materials may be bulk or thin film format and range from ceramics, semiconductors, metals, polymer and biomaterials
. Departmental faculty members are major participants in the University of Maryland Materials Research Science and Engineering
Center , the Maryland NanoCenter and the University of Maryland Energy Research Center . For an overview of the Materials
Science and Engineering Department, please visit Materials Science and Engineering at the University of Maryland .
Admissions Information
The Department offers graduate study leading to the Master of Science (thesis or non-thesis options) and Doctor of
Philosophy degrees. In addition, students enrolled in the Professional Master of Engineering program may choose Materials
Science and Engineering as a program option. Graduate study is open to qualified students holding a bachelor's degree
from accredited programs in any of the engineering and science areas. For detailed admissions and program information,
please visit Materials Science and Engineering Graduate Programs .
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 15
Preferred: January 15
Deadline: June 1
Preferred: June 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Preferred: January 15
Deadline: June 1
Preferred: June 1
Application Requirements
1. GRE General
2. 3 Letters of Recommendation
3. Supplemental Application (APRA)
Degree Requirements
Master of Science (M.S.)
The M.S. degree program offers thesis and non-thesis options. The thesis option requires 24 credit hours of course work
plus a thesis. The non-thesis option requires 30 credit hours of course work and a scholarly research paper. All students
must complete the Program Core requirements as well as all Graduate School requirements. The University of Maryland's
Office of Advanced Engineering Education also offers a Professional Master of Engineering (M.E.) degree with a materials
science and engineering option which requires 30 credits of graduate coursework and does not require a thesis.
Doctor of Philosophy (Ph.D.)
Students wishing to pursue a Ph.D. must complete 45 credits of core and specialized coursework and a dissertation based
on original research. After the completion of the second semester of coursework, the student will take the Ph.D. qualifying
examination. Advancement to candidacy occurs after the completion of the core courses with a 3.5 GPA and successful
completion of the Ph.D. qualifying examination.
Facilities and Special Resources
Special equipment includes scanning and transmission electron microscopes; X-ray diffraction devices; image analysis and
mechanical testing facilities; crystal growing, thin film deposition and analysis equipment; HPLC, GC, IR and other sample
preparation and analytical apparatus.
The Laboratory for Advanced Materials Processing (LAMP) in JM Patterson 2225 includes a class 1000 clean room for
various kinds of thin film processing, particularly things difficult to accomplish in the NanoCenter's new FabLab clean room in
171
the Kim Building. LAMP also features custom-designed ultraclean chemical vapor deposition (CVD) and atomic layer
deposition (ALD) equipment as the basis for research in nano applications and manufacturing process prototyping,
particularly with real-time chemical sensing for metrology and process control. A custom wafer-scanning electrical
characterization facility enables resistance and capacitance mapping.
The Nano-Bio Systems Laboratory (NBSL) in JM Patterson 2229 adjoins LAMP and provides capability for biotech research,
specifically in biomaterials processing and biomicrosystems development. It includes a Zeiss 310 laser
confocal/fluorescence microscope, microfluidic chip testing for biomolecular reaction and cellular response experiments,
biomaterials deposition, a Zyvex L200 nanomanipulator system for life science studies, and mass spectrometry and ICP
optical emission equipment.
The W. M. Keck Laboratory for Combinatorial Nanosynthesis and Multiscale Characterization in 1 141 Kim Building houses
several thin film deposition chambers for rapid exploration of novel functional materials. The combinatorial approach allows
simultaneous investigation of large numbers of different samples. The combinatorial laser molecular beam epitaxy is used to
perform atomic layer controlled combinatorial synthesis of functional materials. Atomically controlled growth of unitcells are
monitored in-situ using electron diffraction.
The Nanoscale Imaging, Spectroscopy and Properties (NISP) lab, located in the Jeong H. Kim Building, houses the most
electron powerful microscopes within any university in the Washington, DC metro area. The facility has a Field-emission
Transmission Electron Microscope (TEM) with 1.4 angstrom resolution and can generate chemical-composition maps of
materials using Energy-Dispersive X-Ray Spectroscopy (EDS) or Electron Energy-Loss Spectroscopy (EELS). Also housed
in the lab are a thermionic TEM with 2.0 angstrom resolution (capable of in-situ electrical measurements and in-situ
observations between -183 C and 1000C) and an electron microprobe with five Wavelength-Dispersion X-Ray
Spectrometers (WDS).
Equipment available at other facilities include a Lakeshore vibrating scanning magnetometer and a scanning Auger
spectrometer.
For additional information about the department's research facilities, please visit the following webpage: Materials Science and
Engineering Research .
Financial Assistance
Financial assistance in the form of teaching and research assistantships and sponsored fellowships are available to qualified
students. Requests for financial assistance will be considered for Fall admission only.
Contact Information
Information is available from:
Dr. Kathleen C. Hart, Assistant Director, Student Services
1113 Chemical and Nuclear Engineering Bldg.
MD 20742
Telephone: (301) 405-5989
enmagrad @ deans .umd.edu
http://www.mse.umd.edu/grad/index.html
Courses:
Related Programs and Campus Units
Engineering: Systems Engineering
Engineering: Professional Master of Engineering
Center for Superconductivity Research
Engineering: Bioengineering
Biophysics
Engineering: Mechanical Engineering (ENME)
Abstract
The Mechanical Engineering Department offers graduate study leading to the Master of Science and Doctor of Philosophy
degrees. In addition, students may pursue a Master of Engineering degree through the Professional Master's Program of the
Office of Advanced Engineering Education. The Department's instruction and research are carried out through the following
four divisions: i) Design and Reliability Systems; ii) Electronic Products and Systems; iii) Mechanics and Materials; and iv)
Thermal, Fluid and Energy Sciences.
Design and Reliability Of Systems (Formerly known as Design, Risk Assessment and Manufacturing) - The focus of this
area of concentration is the study of: Product and process design and decision making; Manufacturing system modeling and
automation; Manufacturing process modeling and control; Reliability and failure modes associated with specific
semiconductor devices; Manufacturing technology designed specifically to meet high standards for yield and quality;
Reliability test methods for various electronic or mechanical devices; Test screening of parts or systems to eliminate latent
defects; Reliability and safety assessment tools for complex aerospace, nuclear, or chemical process systems.
Electronic Products and Systems - This area of concentration addresses the fundamental methods to attain more cost-
effective and reliable electronic packaging. Areas of specialization include: Electronic packaging; Materials characterization;
Acceleration testing; Condition monitoring; Computer aided life cycle engineering (CALCE).
172
Mechanics and Materials - This division concentrates on the study of analytical and experimental fundamentals of
mechanics and materials. Areas of specialization include: Computational modeling; Control systems; Design,
characterization, and manufacturing of materials; Elasticity; Experimental mechanics; Fracture mechanics; Linear and
nonlinear mechanics; Micro-nano-bio systems; Noise and vibration control; Nonlinear dynamics; Robotics and intelligent
machines; Smart structures.
Thermal Fluid Sciences - This division encompasses two broad disciplines: thermal science and fluid mechanics. Areas of
specialization include: Heat transfer; Combustion; Energy systems analysis; Hydrodynamics; Turbulence; Computational
fluid dynamics (CFD).
Energy Systems Engineering Curriculum - A University of Maryland Field Committee has developed the ESE curriculum.
It will provide a coherent approach to energy engineering by equipping its students with the tools needed to conceptualize,
analyze, design and integrate advanced energy systems. This approach is informed by a broad perspective on energy
production, transmission and utilization technology options and trade-offs, and an appreciation for public policy and
regulatory issues.
Reliability and Risk Engineering - This program covers aspects of engineering related to reliability and risk assessment.
The primary areas of specialization include: Microelectronic reliability; Reliability analysis; Risk analysis; Software reliability
Admissions Information
The programs leading to the M.S., M.Eng., and Ph.D. degrees are open to qualified students holding a B.S. degree in
mechanical engineering. Admission may also be granted to students with degrees from other areas of engineering,
mathematics, and sciences. In some cases, students may be required to take undergraduate courses to fill gaps in their
background. In addition to the requirements set forth by the Graduate School, the applicant is also required to submit scores
from the Graduate Record Examination (GRE) and, for all international applicants, scores from the TOEFL exam is also
required. Applicants are required to submit at least three letters of recommendation and a statement of purpose.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: May 15
Preferred: January 14
Deadline: October 15
Preferred: August 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Deadline: June 1
Application Requirements
The minimum requirements of the Department of Mechanical Engineering for acceptance into the Graduate program are:
1 . Bachelor's degree from regionally accredited college or university (or equivalent from a foreign institution).
2. At least a 3.0 G.P.A. (on a 4.0 scale).
3. At least 3 letters of recommendation strongly supporting the applicant's admission into the Graduate Program.
4. An essay or statement of goals and experiences.
5. A total score greater than 1 200 combined on the Verbal and Quantitative sections of the General GRE and greater than 4.5 on the
Analytical Writing section.
6. International applicants: at least a 577 (paper-based) or 100 (Internet-based) score on the TOEFL exam.
Degree Requirements
Master of Science (Mechanical Engineering) (M.S.)
Students enrolled in the M.S. program in Mechanical Engineering must complete at least 30 credits for graduation. This
includes 24 credits of approved coursework and 6 credits of M.S. Thesis Research. The M.S. Coursework Plan sets forth the
courses required to be taken by the student in partial fulfillment of the M.S. degree requirements. The coursework plan must
be prepared in consultation with a faculty advisor in the student's technical area of interest, and submitted to the Graduate
Office (2180 Glenn L. Martin Hall) for approval by the Director of Graduate Studies at the beginning of the first semester of
study. Changes to the plan are permitted, but must be approved by the student's advisor and the Director of Graduate
Studies prior to their implementation. A new coursework plan reflecting the changes must be filed with the ME Graduate
Office every time changes are made.
Doctor of Philosophy (Reliability Engineering) (Ph.D)
Students in the Ph.D. program must take a minimum of 36 credits of approved graduate coursework beyond the B.S. degree
(a minimum of 12 credits of coursework at the University of Maryland) and 12 credits of dissertation research. Students
currently holding an M.S. from an approved engineering, math, or science program may apply up to 24 credits from their
previous degree towards their doctoral coursework requirement. In addition, students must pass a qualifying examination,
propose and have an approved Ph.D. dissertation topic (within two semesters of passing the qualifying exam), and
successfully produce and defend a Ph.D. dissertation on an original research topic.
(See http://www.enme.umd.edu/grad/phd-req.html for details) EDIT THIS
Doctor of Philosophy (Mechanical Engineering) (Ph.D.)
Students in the Ph.D. program must take a minimum of 36 credits of approved graduate coursework beyond the B.S. degree
(a minimum of 12 credits of coursework at the University of Maryland) and 12 credits of dissertation research. Students
currently holding an M.S. from an approved engineering, math, or science program may apply up to 24 credits from their
previous degree towards their doctoral coursework requirement. In addition, students must pass a qualifying examination,
propose and have an approved Ph.D. dissertation topic (within two semesters of passing the qualifying exam), and
173
successfully produce and defend a Ph.D. dissertation on an original research topic.
(See http://www.enre.umd.edu/grad/phd-req.html for details)
Master of Science (Reliability Engineering) (M.S.)
Two options exist to earn the M.S. degree in Reliability Engineering:
Non-thesis option
Complete 30 credits with at least 18 at the 600-level or above. Complete the required 6 credits of core courses (see below).
Maintain an average grade of B or better. Submit at least one scholarly paper addressing reliability within his/her field of
engineering for approval by two faculty members. The topic must be selected and an advisor located by the second
semester of study. The paper can be completed by registering for ENRE648, an independent study course with selected
advisor and approved through Graduate Committee. Complete a set of approved technical elective courses to satisfy the
balance of the course requirements (a minimum of 24 credits).
Thesis option
Complete 24 credits with at least 12 at the 600-level or above. Complete the required 6 credits of core courses. Maintain an
average grade of B or better. Take an additional 6 credits of ENRE 799 (thesis research). Write a satisfactory thesis and
defend the thesis in an oral examination. Complete a set of approved technical elective courses to satisfy the balance of the
course requirements (a minimum of 18 credits).
(See http://www.enme.umd.edU/grad/ms-req-reliability.html#courseReq for details)
Facilities and Special Resources
The department and college of engineering provide access to a wide variety of experimental and computing facilities.
Selected department computer resources include approximately 100 networked PC systems and 100 UNIX workstations. In
addition, an enriched CAD computing environment is provided through a large number of third-party software products,
including computer aided design applications.
Financial Assistance
Financial assistance is available to highly qualified students in the form of research and teaching assistantships. The most
outstanding applicants are offered fellowships. Students seeking financial assistance should submit with their applications a
current resume or CV as well as a statement regarding their qualifications and/or past research or teaching experience.
Financial assistance is sought for all worthy students. The following fellowships are available for Ph.D students; Dean's
Fellowships (supplements to Teaching Assistantships and Research Assistanships)-Managed by School of Engineering;
University Fellowships from the Graduate School (supplements to Teaching Assistantships and Research Assistantships);
Future Faculty Fellows Program from the Clark School; Dissertation Fellowship from the Graduate School; Litton Fellowship
(ME&ECE); LSAMP Bridge to the Doctorate Fellowship; ARCS Fellowship.
Contact Information
Detailed information regarding our graduate programs may be found on our website.
Coordinator of Graduate Studies/Amarildo C. DaMata
Department of Mechanical Engineering
2180 Glenn L. Martin Hall
College Park, MD 20742
Telephone: (301) 405-4216
Fax:(301)314-8015
amata@umd.edu
http://www.enme.umd.edu/grad/
Assistant Director of Graduate Studies/Lee Ellen Harper
Department of Mechanical Engineering
2178 Glenn L. Martin Hall
College Park, MD 20742
Telephone: (301)405-8601
Fax:(301)314-8015
leharper@umd.edu
http://www.enme.umd.edu/grad
Director of Graduate Studies/Prof. Hugh A. Bruck
Department of Mechanical Engineering
2174 Glenn L. Martin Hall
College Park, MD 20742
Telephone: 301-405-8711
Fax:301-314-9477
bruck@umd.edu
http://www.enme.umd.edu/grad
Co-Director of Reliability Engineering Graduate Program/Prof. Mohammad Modarres
Department of Mechanical Engineering
174
0151 Glenn L. Martin Hall
College Park, MD 20742
Telephone: (301) 405-5226
Fax:(301)314-9601
modarres@umd.edu
http://www.enre.umd.edu/
Courses: ENME ENRE
Related Programs and Campus Units
19th Century Music, Center for Studies in
Engineering: Systems Engineering
Engineering: Professional Master of Engineering
Center for Superconductivity Research
Engineering: Professional Master of Engineering (ENPM)
Abstract
The Professional Master of Engineering program is a practice-oriented part-time graduate program designed to assist
engineers and technical professionals in the development of their careers and to provide the expertise needed in the rapidly
changing business, government, and industrial environments. Late afternoon and evening classes are taught by the College
Park faculty and experienced adjunct faculty at the College Park campus and designated learning centers in Maryland.
PLEASE NOTE: DO NOT use program code ENPM when applying for this program. Please use the codes for each
academic option listed below.
Options are available in the following engineering disciplines:
Aerospace Engineering (PMAE)
Bioengineering (PMBI)
Chemical and Biomolecular Engineering (PMCH)
Civil and Environmental Engineering (PMCE)
Electrical and Computer Engineering (PMEE)
Energetic Concepts* (PMME)
Environmental Engineering (PMEN)
Fire Protection Engineering* (PMFP on campus, ENGF online)
Materials Science and Engineering (PMMS)
Mechanical Engineering (PMME)
Nuclear Engineering* (PMNU on campus, MENU online)
Project Management* (PMPM on campus, MEPM online)
Reliability Engineering* (PMRE on campus, MERE online)
Sustainable Energy Engineering* (PMSU on campus, MEEE online)
Systems Engineering (PMSE)
* available 100% online
Admissions Information
The program is open to qualified applicants holding a regionally accredited baccalaureate degree in engineering or a related
field.
Applicants with an undergraduate GPA of less than 3.0 may be admitted on a provisional basis if they have demonstrated
satisfactory performance in another graduate program and/or their work has been salutary.
Applicants with foreign credentials must submit academic records in the original language with literal English translations.
Allow at least three months for evaluation of foreign credentials.
We trust that you will find this 30 credit-hour program to be an affordable, convenient way to earn an engineering graduate
degree, to "retool" and keep current with the latest technological developments in your field, or perhaps to develop a new
area of expertise so as to further your career.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
175
1 . Bachelor's degree in engineering or a related field
2. GRE not required
3. College Transcripts
4. 3 Letters of Recommendation
5. Graduate School admission application and fee
6. In online application, select the appropriate program option as the major from the list above
Degree Requirements
Master of Engineering (M.Eng.)
The student chooses an area of concentration offered by an engineering department and completes 30 credit hours of
approved coursework with an average grade of B. The coursework, which allows up to 12 credits at the 400-level, must be
approved by the program's departmental faculty advisor.
Facilities and Special Resources
Courses in the Professional Master of Engineering program are currently offered on the College Park campus, are available
at off-campus centers, via Distance Education Technology and Services (DETS), which is a live interactive distance
education system, and 100% online. Courses are available via DETS at the University of Maryland System Shady Grove
Center in Montgomery County, the Higher Education and Applied Technology (HEAT) Center in Harford County, the
Southern Maryland Higher Education Center in St. Mary's County, Frostburg State University in Allegany County, and
University System of Maryland at Hagerstown in Washington County.
Financial Assistance
There are no assistantships or fellowships available in this program.
Contact Information
For more specific information, contact:
Dr. George Syrmos, Executive Director
2123 J. M. Patterson Building, University of Maryland, College Park
MD 20742
Telephone: (301) 405-0362
Fax:(301)405-3305
oaee@umd.edu
http://www.oaee.umd.edu
Mr. Paul Easterling, Director
2123 J. M. Patterson Building, University of Maryland, College Park
MD 20742
Telephone: (301) 405-0362
Fax:(301)405-3305
oaee@umd.edu
http://www.oaee.umd.edu
Courses: ENPM
Related Programs and Campus Units
Engineering: Aerospace Engineering
Engineering: Chemical Engineering
Engineering: Civil and Environmental Engineering
Engineering: Electrical & Computer Engineering
Engineering: Fire Protection Engineering
Engineering: Materials Science and Engineering
Engineering: Mechanical Engineering
Engineering: Reliability Engineering
Engineering: Systems Engineering
Engineering: Reliability Engineering (ENRE)
Abstract
Reliability Engineering is an interdisciplinary program of the Department of Mechanical Engineering. The academic and
research programs are based upon the recognition that the performance of a complex system is affected by engineering
inputs that begin at conception and extend throughout its lifetime. Students may specialize in Assessment (Root-Cause
Failure Analysis, Probabilistic Risk Assessment, Common-Cause Failures); Testing and Operation (Operator Advisory
Systems, Human Reliability, Software Reliability); Manufacturing (Statistical Process Control, Improved Manufacturing
Methods); Component and Structures Reliability (Microelectronics and Materials); or Electronic Packaging Reliability.
Admissions Information
The Program offers graduate study leading to the Master of Science, Professional Master of Engineering, and Doctor of
Philosophy degrees and is open to students who have a Bachelor of Science degree in engineering, physics, or
mathematics and obtained a GPA of at least 3.0 on a 4.0 scale from accredited programs. An individual plan of graduate
study compatible with the student's interest and background is established by the student in consultation with an advisor. In
176
some cases, it may be necessary to require background courses to fulfill prerequisites. In addition to Graduate School
admission requirements, the Department posts specific degree requirements.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: May 15
Preferred: January 14
Deadline: October 15
Preferred: August 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Deadline: June 1
Application Requirements
1. GRE General (Required)
2. 3 Letters of recommendation
3. Statement of purpose(lf you are planning to be a distance student, please indicate so in your statement)
4. TOEFL (all international students)
5. Resume or CV
Degree Requirements
Master of Science (M.S. )
The M.S. degree program offers thesis and non-thesis options. The thesis option requires 24 credit hours of coursework and
6 credits of thesis research. Students who enroll directly in the Ph.D. program or students who transfer into the Ph.D.
program from the M.S. program by passing the Ph.D. qualifying examination may obtain a non-thesis M.S. degree upon
advancing to doctoral candidacy. The non-thesis option requires 30 credit hours of coursework, a scholarly paper, and
presentation. All students must complete the Program Core requirements as well as all of the Graduate School
requirements.
The Professional Master of Engineering (M.Eng.) program in Reliability Engineering is offered through the Office of
Advanced Engineering Education. The M.Eng. degree does not require a thesis, but students must complete at least 30
credits of approved coursework.
Doctor of Philosophy (Ph.D.)
For the Ph.D. degree, students must complete a minimum of 36 credits of approved graduate courses (a minimum of 1 8
credits of coursework at the University of Maryland) and 12 credits of dissertation research, with a minimum 3.0 GPA overall.
In addition, students must pass the Ph.D. qualifying examination and successfully produce and defend a Ph.D. dissertation
on an original research topic after the core courses and at least two additional ENRE elective courses are taken. The GPA
for these four courses must be 3.5 or higher.
Facilities and Special Resources
Students and faculty have access to a host of special facilities in the College of Engineering, including the nuclear reactor,
an 8-MeV electron linear accelerator; environmental chambers; mechanical testing, SEM, X-ray and imaging facilities; and
extensive computer resources. The program also has a complete failure analysis laboratory.
Financial Assistance
Financial assistance is available to highly qualified students in the form of research and teaching assistantships. The most
outstanding applicants are offered fellowships. Students seeking financial assistance are asked to submit with their
applications a current resume or CV as well as a statement regarding their qualifications and/or past research or teaching
experience. Financial assistance is sought for all worthy students.
Contact Information
Detailed information regarding our graduate programs may be found on our website.
Co-Director of Reliability Engineering Graduate Program/Prof. Mohammad Modarres
Department of Mechanical Engineering
0151 Glenn L. Martin Hall
College Park, MD 20742
Telephone: (301) 405-5226
Fax:(301)405-9601
modarres@umd.edu
http://enre.umd.edu/
Coordinator of Graduate Studies/Amarildo C. DaMata
Department of Mechanical Engineering
2180 Glenn L. Martin Hall
College Park, MD 20742
Telephone: (301) 405-4216
Fax: (30) 314-8015
amata@umd.edu
http://www.enme.umd.edu/grad
177
Assistant Director of Graduate Studies/Lee Ellen Harper
Department of Mechanical Engineering
2178 Glenn L.Martin Hall
College Park, MD 20742
Telephone: (301) 405-8601
Fax:(301)314-8015
leharper@umd.edu
http://enme.umd.edu/grad
Director of Graduate Studies/Prof. Hugh A. Bruck
Department of Mechanical Engineering
2174 Glenn L.Martin Hall
College Park, MD 20742
Telephone: (301) 405-8711
Fax:(301)314-8711
bruck@umd.edu
http://www.enme.umd.edu/grad
Courses: ENRE
Related Programs and Campus Units
Engineering: Professional Master of Engineering
Center for Superconductivity Research
Engineering: Systems Engineering (ENSE)
Abstract
Students in the broadly-based, cross-disciplinary Master of Science in Systems Engineering (ENSE) program at ISR benefit
both academically and professionally by:
- Being exposed to a wide range of systems engineering principles and software tools tailored toward support for visual
modeling of systems, requirements engineering, system-level modeling, optimization and trade-off analysis, and human
factors engineering.
- Becoming familiar with the financial and management issues associated with complex engineering systems.
- Acquiring a deep understanding of one particular application area.
- Becoming familiar for opportunities for leadership within the systems engineering profession.
Designed with substantial industry input, the ENSE curriculum represents the University of Maryland's first multi-college
graduate degree program involving the A. James Clark School of Engineering.
In addition to the technical management of systems projects, the ENSE program covers a wide range of topics, from
systems definition, requirements and specifications, to systems design, implementation, and operation. Students specialize
in one technical area, selected from computer and software systems, communication and networking systems, signal
processing systems, control systems, manufacturing systems, operations research, transportation systems, and robotics.
The ENSE program draws upon the extensive engineering, computer science and management experience of the of
University of Maryland faculty. The program makes optimum use of the university's advanced facilities, including extensive
libraries of numerical, symbolic, and visualization software, engineering workstations, and wireless communication networks.
Admissions Information
Admission to the ENSE program is competitive. The program looks for strong evidence of motivation and achievement
and/or significant professional experience in engineering and/or the sciences. At a minimum, all applicants must meet the
general admission requirements of the Graduate School, graduation from a regionally accredited college or university with a
B average (or 3.0 on a 4.0 scale). Also key are three (3) strongly positive letters of recommendation, usually from current or
recent instructors, employers, or supervisors; competitive scores on standardized tests (the GRE general test with writing
assessment is required); and an articulate statement of appropriate goals and interests. Applicants should have a solid
background in engineering, math or science. Prospective and current students may seek support for their studies through
graduate research assistantships or graduate fellowships. Students currently working in industry, the military, or the
government, who plan to pursue their graduate studies part-time, might ask their employers about tuition assistance. All
applicants are encouraged to explore sources of external funding; a number of comprehensive Internet sites, such as
fastweb.com, offer detailed information and application instructions.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: March 15
International Applicants seeking admission
Deadline: February 1
178
Type of Applicant
Fall
Spring
under F (student) or J (exchange visitor) visas
Application Requirements
• GRE. Official GRE scores should be sent directly to the University of Maryland (institution code 5814) through ETS.
• TOEFL. Official TOEFL scores should be sent directly to the University of Maryland (institution code 5814) through ETS.
• Official transcripts (original hard copy required)
• Residency information form (U.S. citizens and permanent residents only)
• Certification of Finances form (international applicants only)
• International applicants who are already in the U.S. must provide copies of the I-20, I-94, and passport visa stamp
• 3 Letters of recommendation
• Statement of Goals
• All other supporting documents should be sent to: University of Maryland College Park, Enrollment Services Operations, Application for
Graduate Admission, Rm 0130 Mitchell Building, College Park, MD 20742
Degree Requirements
Master of Science (M.S.)
General requirements for the master's thesis and non-thesis options are those of the University of Maryland Graduate
School. All requirements must be completed within 5 years. The thesis option requires each student to obtain a total of 30
credit hours: 24 hours of coursework and six (6) hours for the thesis project to complete the program. The coursework
includes 1 8 credits for the six core courses (four courses from the systems engineering core and two courses from the
management core), and two (2) elective courses. The elective courses must be taken from one specialization area. The
master's thesis project demonstrates the practical implications of systems engineering principles. The thesis project may be
related to a practical industrial system, and must be supervised by the academic advisor.
The non-thesis option requires each student to obtain a total of 30 credit hours of coursework to complete the program (four
courses from the systems engineering core, two courses from the management core, and four elective courses). The
elective courses must be taken from not more than two specialization areas. In addition, students must complete a scholarly
paper. Expectations of the scholarly paper: While less detailed and complex than the thesis, the scholarly paper also
contributes to systems engineering research. For example, a student might chose to write a literature review, identify and
propose a solution to a systems problem encountered on the job, or prepare a systems case study. The scholarly paper is
prepared under the supervision of the student's academic advisor. It also must be read by at least one additional ISR faculty
member, and approved by the ENSE graduate director. No specific format is required by the Graduate School.
Facilities and Special Resources
Modern laboratory, computation, and networking environments play an indispensable role in both the development and day-
to-day operation of the research and education programs at the Institute for Systems Research. In all of the ISR
laboratories, real-life experiments and associated research studies are enabled through the integrated design of automation
and information engineering systems. Computational environments support advanced numerical simulation, sensing and
control, and automated design of complex heterogeneous engineering systems. Networking environments play an
indispensible role in enabling of interdisciplinary teams of faculty and students to work together. Prototype designs in both
hardware and software have led to technological discoveries and patentable inventions.
ISR was established in 1985 as one of the first six National Science Foundation Engineering Research Centers (ERCs).
Now a self-sustaining ERC, it is a permanent state-supported institute of the University of Maryland, within the A. James
Clark School of Engineering. ISR faculty and graduate students perform basic and applied research with an emphasis on six
major research directions: systems engineering methodologies and tools, global communications systems, sensor-actuated
networks, next generation product-realization systems, societal infrastructure systems, and cross-disciplinary systems
engineering education. ISR seeks a cohesive and balanced approach to the modeling, design, and control of large
heterogeneous systems, bringing together a diversified team of outstanding engineers, scientists, and students to research,
develop, and implement advances in systems engineering.
Financial Assistance
Prospective and current students may seek support for their studies through graduate research assistantships with ISR
faculty or graduate fellowships. Students currently working in industry, the military, or the government, who plan to pursue
their graduate studies part-time, might ask their employers about tuition assistance. All applicants are encouraged to explore
sources of external funding; a number of comprehensive Internet sites, such as fastweb.com, offer detailed information and
application instructions.
Contact Information
Information regarding the program may be obtained by writing to:
Master of Science in Systems Engineering (ENSE) Program
Institute for Systems Research
2175 A.V. Williams Building (115)
University of Maryland College Park
MD 20742
Telephone: (301) 405-4419
Fax:(301)314-9920
179
ensegrad@deans.umd.edu
http://www.isr.umd.edu/students/MSSE.htm
Courses: ENSE
Related Programs and Campus Units
Engineering: Chemical Engineering
Engineering: Electrical & Computer Engineering
Computer Science
Engineering: Civil and Environmental Engineering
Business and Management
Engineering: Materials Science and Engineering
Engineering: Aerospace Engineering
Mathematics
Engineering: Mechanical Engineering
Engineering: Professional Master of Engineering
Engineering: Telecommunications (ENTS)
Abstract
The Master's in Telecommunications Program offers students a unique opportunity to engage in cross-disciplinary
coursework from both the A. James Clark School of Engineering and the Robert H. Smith School of Business at the
University of Maryland. This extraordinary combination culminates in a degree that prepares students for the broad range of
rigors and issues that encompass the dynamic telecommunications industry.
The program covers several different areas including Information System Security, Wireless Communications, Networking,
and Business and Management for the telecommunications industry. The program may be pursued either full-time or part-
time. All courses are scheduled in the evening to suit working professionals, while some courses additionally offer daytime
sections.
The program is designed around a core curriculum that provides a solid technical foundation and management background.
The Master's in Telecommunications degree requires successful completion of 30 credits and a scholarly paper. Please visit
our Degree Requirements page for detailed information.
Students may choose from a wide range of electives to develop their interests and complement their career goals. Please
visit our Course Descriptions page for a detailed listing of our courses. In addition to the courses listed there, special topics
electives are regularly offered. As our program keeps up with industrial trends, these courses focus on emerging, cutting-
edge topics.
Please see our website, www.telecom.umd.edu , for the most current information.
Admissions Information
For the most current and detailed information regarding admissions and deadlines for the Master's in Telecommunications,
please refer to our Admissions page.
The program is open to applicants holding a regionally accredited baccalaureate degree in engineering, computer science,
math, physics or related technical fields with a minimum GPA of 3.0. Applicants with an undergraduate GPA of slightly less
than 3.0 may be considered if they have demonstrated strong performance in prior graduate study and/or professional
experience.
Because of the program's rigorous technical core, applicants must have sufficient mathematical backgrounds (e.g.
successful completion of Calculus I, Calculus II, and Differential Equations). The GRE will be strongly considered; however,
it is not required for admission.
This program is professional in nature and has a non-standard tuition. Tuition for the 201 1 -1 2 academic year is $950.00 per
credit. The tuition rate is the same for all students, regardless of residency or citizenship.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: May 1
Deadline: October 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Deadline: June 1
Application Requirements
• Official College Transcripts
• 3 Letters of Recommendation
• Statement of Purpose
• Resume
180
Degree Requirements
Master of Science (M.S.)
Requirements to earn the Master's in Telecommunications degree include completing 30 credit hours of course work,
achieving a cumulative grade point average (GPA) of at least 3.0, and submitting a satisfactory scholarly paper. The 30
credits include eight required courses and two elective courses. All graduate students at the University of Maryland are
required to maintain a 3.0 GPA each semester to remain in good standing.
Additional courses beyond the required courses must be approved by the Program Office and should not impede the
student's progress towards degree completion. ALL courses taken at the University of Maryland count towards the student's
cumulative GPA.
Please visit our Degree Requirements page for detailed information.
Facilities and Special Resources
Students enrolled in the Program are allowed exclusive access to the Telecommunications PC Lab.
Financial Assistance
Since the Master's in Telecommunications Program does not normally offer financial support in the form of graduate
assistantships, many of our students find assistantships in other units, especially non-academic units, which do not have
graduate students.
Contact Information
Master's in Telecommunications Program Office
2433 A.V. Williams Building, University of Maryland,
College Park
MD 20742
Telephone: 301-405-3682
Fax:301-314-9324
telecomprogram@umd.edu
www.telecom.umd.edu
Courses: ENTS
Related Programs and Campus Units
Engineering: Electrical & Computer Engineering
R.H. Smith School of Business
English Language and Literature (ENGL)
Abstract
The Department of English offers graduate study leading to the Master of Arts and Doctor of Philosophy degrees; particular
strengths of the department include early British literature, especially that of the Renaissance; American literature; literature
of the African diaspora; postcolonial and transnational literary studies; digital humanities; feminist theory and gender studies;
and composition and rhetoric. The Department also offers a Master of Fine Arts degree in Creative Writing (See listing for
Creative Writing). Most students enrolled in graduate programs in English Language and Literature seek employment in
higher education, but many also seek non-academic employment in publishing, business and technical writing,
administration, and personnel management. To assist with placement, the department has a Placement Director and the
university has a Career Development Center.
Admissions Information
In addition to fulfilling Graduate School requirements, applicants to the M.A. degree program should present a 3.5 GPA in
English and 24 hours of upper-level English courses. Applicants to the Ph.D. degree program should present at least a 3.7
GPA and an B.A. degree, normally in English Language and Literature. All M.A. and Ph.D applicants should submit a single
critical writing sample of 12-20 pages as indicated on the application guidelines. For best consideration, complete
applications for all degree programs should be submitted by December 8. Applications are not accepted after December 15.
The Admissions Committee will begin reviewing applications immediately. Admission is for the Fall semester only.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: December 15
Preferred: December 8
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 15
Preferred: December 8
Application Requirements
1. GRE General required
2. 3 Letters of Recommendation from current or former teachers
181
3. Unofficial list of relevant coursework
4. Official transcripts from all schools attended
5. A single critical writing sample (1 2-20 pages)
Degree Requirements
Doctor of Philosophy (Ph.D.)
The Ph.D. degree program requires a total of 36 credit hours of graduate work. PhD students must also 1) pass a qualifying
examination in their areas of specialization; 2) demonstrate, through examination or coursework, evidence of reading
competence in a foreign language related to their areas of specialization; and 3) complete a dissertation. Applicants to the
Ph.D. program normally must have a B.A in English Language and Literature. Applicants who wish to pursue a Ph.D. but
who do not have a B.A. in English Language and Literature may apply to the M.A. program. In exceptional cases the
Admissions Committee may decide to admit a student with a B.A. degree other than in English Language and Literature with
the requirement that the student complete extra course work as deemed necessary.
Master of Arts (M.A.)
The M.A. degree program requires 30 credit hours of graduate work distributed to assure coverage of major historical fields.
The student either may take 24 hours of coursework and write a thesis for the other six hours, or may take 30 hours of
coursework and do a capstone writing project. The department also offers a special M.A. with a Concentration in
Composition and Rhetoric; this degree program requires 30 credit hours of graduate work, provides thesis and non-thesis
options, and balances courses in literature with courses in the theory of composition and rhetoric.
The department is in the process of reviewing the MA degree requirements. Students applying for academic year 2012-13 will be enrolled in
the existing program.
Facilities and Special Resources
Resources for research in the College Park and Washington, D.C. area are unsurpassed. The university's libraries hold over
2,000,000 volumes. In addition to the outstanding holdings of the Library of Congress, the area also offers the specialized
resources of the Folger Shakespeare Library, Dumbarton Oaks, the National Archives, the Smithsonian Institution, and the
National Center for the Study of the Visual Arts.
UMCP is a member of the Consortium of Institutions in the Washington area, which permits graduate students at College
Park to enroll in courses at other universities for graduate credit at UMCP. Graduate students in English also may take
courses for graduate credit at the Folger Institute of Renaissance and Eighteenth-Century Studies, which runs a series of
seminars by distinguished scholars each year.
Financial Assistance
The English Department, in conjunction with the College of Arts and Humanities, awards a small number of fellowships to
exceptional PhD candidates. The English Department also awards teaching assistantships, the primary form of financial aid.
Currently, about 8-10 teaching assistantships are available each year to incoming students.
Contact Information
Additional information on admission, degree requirements, and financial aid can be obtained from:
Manju Suri, Academic Coordinator
21 1 6 Tawes Hall University of Maryland
College Park
MD 20742
Telephone: (301) 405-3798
engl-grad@deans.umd.edu
http://www.english.umd.edu
Courses: ENGL
Related Programs and Campus Units
Communication
Entomology (ENTM)
Abstract
The Department of Entomology offers both the Doctor of Philosophy and Master of Science degrees. Graduate students
may specialize in a range of topics in both basic and applied insect science. Topics include insect ecology and behavior,
physiology and morphology, insect pathology, toxicology and environmental risk assessment, evolution and biosystematics,
and pest management.
Employment opportunities for graduates exist in industry, academia, federal, state and local governments, and in
international and national spheres.
Admissions Information
Students applying for graduate work in entomology are expected to have strong backgrounds in the biological or agricultural
sciences, chemistry, and mathematics. An undergraduate degree in entomology is not required, but a strong basic
preparation is preferred for admission to the program.
Admission is granted on the basis of the following criteria by the Graduate Affairs Committee: Analysis of transcripts,
including course selection and GPA, letters of recommendation, statement of purpose for pursuing the degree, GRE scores,
and acceptance by a graduate faculty advisor. International applicants must also submit proof of English proficiency
182
(TOEFL, iBT or IELTS scores). Acceptance by an advisor is absolutely required; thus, it helps to make contact with faculty
when applying.
Upon admission to the M.S. or Ph.D. program, the student's study committee suggests a program of course work and
approves a detailed research proposal.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: January 15
Preferred: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Preferred: January 15
Application Requirements
1 . University of Maryland application for graduate studies
2. Academic transchpt(s)
3. Scores of the Graduate Record Exam General Aptitude Test (institutional code is 5814; departmental code not required)
4. Scores of the Graduate Record Exam Advanced Biology Test (optional but include if available)
5. 3 letters of recommendation from people familiar with the applicant's abilities and aptitude for graduate work
6. Statement of purpose/research interests and professional objectives (can be reasonably broad; 1 -2 pages in length)
7. International students must submit scores from the TOEFL, iBT or IELTS. Maryland's institutional code is 5814; no departmental code is
needed. Students who take the iBT or IELTS exams do not need to take the TSE
8. Applicants are encouraged to contact ENTM faculty with shared research interests. To explore matches of your interests with those of
ENTM faculty, see the ENTM website, entm.umd.edu.
Degree Requirements
Master of Science (M.S.)
In the M.S. program, the student is given latitude in the selection of the advisory study committee, the choice of a study
area, and the selection of a research program. The student must take several core courses and specific courses required by
the study area. The M.S. degree is awarded following the successful completion of course work (24 credits), thesis research
(6 credits) and thesis defense.
Doctor of Philosophy (Ph.D.)
The Ph.D. program provides diverse opportunities for the selection of a dissertation question, composition of advisory
committee, and selection of an area of specialization. In addition to core course requirements, course work targeting an area
of specialization is determined by the advisory study committee. Following completion of most course work, the Ph.D.
student is given an oral qualifying examination for advancement to candidacy, and the degree is awarded after successful
completion of the dissertation defense exam.
Facilities and Special Resources
The department is housed in a modern research facility on campus, where state-of-the-art offices, laboratories,
environmental growth chambers, multimedia classrooms, and lecture halls provide an excellent environment for research
and teaching. Students have individual work stations and access to sophisticated computer graphic facilities. The
department also shares extensive technical expertise and scientific equipment with other departments on campus. The
university's strategic location in the Washington, DC area provides many opportunities for students to conduct research and
gain hands-on experience in federal facilities, such as the Smithsonian Institution, USDA-ARS Beltsville Agricultural
Research Center, Walter Reed Army Institute of Research, and NIH. Vast resources are available in the university's library
system and nearby federal libraries. The USDA's National Agriculture Library at Beltsville is only four miles from the campus,
and the Library of Congress is in nearby Washington, DC. Besides the main campus, the Maryland Experiment Station has
Research and Education Centers in the state where field and laboratory work is carried out on urban and agricultural
insects. Land use and technical services at these Centers are available to faculty and students.
Financial Assistance
Graduate students are supported primarily in two ways. Many students are supported by extramural funding sources, usually
obtained by the student's faculty advisor for research on a specific topic. The second type of support is provided by the
department from internal funds via university and departmental fellowships, and teaching and research assistantships.
Teaching and research assistantships are available on a competitive basis. Teaching assistants usually instruct
undergraduate laboratory and recitation classes and receive in return a tuition waiver of ten credits each semester. Those
students with grade point averages greater than 3.5 and GRE scores over 1400 (combined verbal and quantitative) may
also be competitive for university and departmental fellowships. Several part-time employment opportunities are also
available in governmental and private research and developmental laboratories in the area. Regardless of the initial source
of funding, the department makes a financial commitment to each graduate student. In the case of master's students,
support is provided for the first three years of the program only. In the case of doctoral students, five years of support is
provided but must be used during the first six years of the student's program. Support is usually for the full 12 months.
Contact Information
The departmental website, www.entm.umd.edu, describes the mission and administrative organization of the department,
the faculty and staff, the teaching, research, and extension programs, and the facilities. The website also gives additional
183
information on the graduate program, including requirements for admission, course requirements, examinations, seminars,
and research areas and facilities.
Graduate Director, Dr. David Hawthorne
Department of Entomology, 41 1 2 Plant Sciences Building, University of Maryland, College Park,
MD 20742-4454
Telephone: (301) 405-3912
Fax:301-314-9290
djh@umd.edu
http://www.entm.umd.edu/
Courses: ENTM
Related Programs and Campus Units
Biological Sciences
Center for Bioinformatics and Computational Biology
Marine-Estuarine-Environmental Sciences
Sustainable Development and Conservation Biology
Environmental Science and Technology (ENST)
Abstract
The Department of Environmental Science and Technology (ENST) offers graduate programs leading to the Master of
Science and Doctor of Philosophy degrees. ENST students can choose to work within one of three specializations: Soil and
Watershed Sciences, Ecological Technology Design, or Wetland Science.
Admissions Information
Students seeking admission should have strong training in the basic sciences and mathematics. To be admitted with full
admission status, a student must have completed a minimum of one semester of Calculus and a total of at least 16 credits in
some combination of Chemistry, Physics or Mathematics (beyond Calculus I). It is also helpful for applicants to have
completed courses in Biology, Ecology, Soil Science, Geology, or related sciences and engineering. Applicants to the M.S.
program must have earned a B.S. degree in a related field with an undergraduate cumulative GPA of 3.0 or higher.
Applicants to the Ph.D. degree program must have earned an M.S. Degree in a closely related field. In special cases
students may be admitted to a Ph.D. program without first completing an M.S. degree provided these students have: 1) an
exceptional academic record and test scores; and 2) have demonstrated significant research experience during their B.S.
program (such as completion of a research based honors thesis.) Graduate Record Examination scores (GRE - General
Test) are required of all applicants. International applicants must also submit TOEFL scores.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 15
Preferred: January 1
Deadline: August 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Preferred: January 1
Deadline: June 1
Application Requirements
1. GRE General Test
2. 3 Letters of Recommendation
Degree Requirements
Master of Science (M.S.)
Graduate School Requirements: To earn an M.S. degree, the University of Maryland Graduate School requires that a student to complete a
minimum of 24 semester hours of graduate level classes (400 lever or above) beyond the B.S. degree, plus an additional six hours of thesis
research credit (799). Of the 24 hours required in graduate courses, at least 12 must be earned in a major area and a minimum of 12 credit
hours must be 600 level or above. Defense of a thesis based on the student's research is required for the degree.
ENST Departmental Core Requirements: All ENST M.S. students are required to complete ENST 602 and 702, two semesters of Graduate
Seminar (ENST 798), and one graduate level statistics course.
Specialization Requirements: The Soil and Watershed Sciences specialization requires that M.S. students complete a total of twelve credits
of graduate level soil science courses among any four of the following five areas: soil chemistry, soil physics, pedology, soil biology, soil
fertility. The Ecological Technology Design specialization requires that M.S. students complete a total of twelve credits of graduate level
courses that have been approved by the student's advisory committee. Six credits must be in ecology and six credits must be in ecological
design or related engineering courses. The Wetland Science specialization requires that M.S. students complete a total of twelve credits
from a list of approved graduate level courses . A minimum of three credits must be earned from each of these groups: Ecology, Soil
Science, Hydrology.
Doctor of Philosophy (Ph.D.)
184
Graduate School Requirements: To earn an Ph.D. degree, the University of Maryland Graduate School requires that the student complete a
minimum of 12 credits of dissertation research (899) and complete and successfully defend a dissertation based on original research.
ENST Departmental Core Requirements: All ENST Ph.D. students are expected to complete a minimum of 50 credits beyond the B.S.
degree (in addition to research credits 898 and 899) and are required to complete ENST 602, 702 and two graduate level statistics courses
(these can be taken during either the M.S. or Ph.D. program), and two semesters of Graduate Seminar (ENST 798).
Specialization Requirements: ENST Ph.D. students are expected to have completed all of the M.S. requirements for the particular
specialization chosen. In addition to having met the M.S. requirements, the Soil and Watershed Sciences specialization requires that Ph.D.
students complete one semester of graduate level physical chemistry or biochemistry and one additional graduate level course in
chemistry, biochemistry, physics, mathematics, engineering, or computer science; the Ecological Technology Design specialization requires
that Ph.D. students complete one semester of graduate level systems modeling, and one additional graduate level course in ecology,
ecological design or ecological engineering; the Wetland Science specialization requires that Ph.D. students complete one graduate level
course in modeling, and two additional graduate level courses from within the areas of Ecology, Soil Science, or Hydrology.
Facilities and Special Resources
The Department has many well-equipped laboratories designed to carry out basic and applied research in Soil and
Watershed Sciences, Ecological Technology Design and Wetland Science. Laboratories are located on the College Park
campus in H.J. Patterson Hall and the ANSC/AGEN Building. New state-of-the-art greenhouse facilities on campus and a
statewide network of research and education centers as well as our proximity to Chesapeake Bay provide access to a wide
range of environmental conditions for research. Students have access to computer resources in the department and a
comprehensive computer center located on campus. The University Libraries on campus and the National Agricultural
Library located nearby, supplemented by the Library of Congress, make the library resources accessible to students among
the best in the nation. Many ENST projects are conducted in cooperation with other departments on campus and with
professionals at various scientific centers in the area. Scientists at the USDA-ARS, US Geological Survey, the National
Academy of Sciences, NASA, National Institutes of Health, Department of Energy, Smithsonian, and National Park Service,
as well as other agencies, have cooperated with ENST faculty on various projects. Scientists from some of these agencies
have adjunct appointments in the Department, have taught special courses at the University, and participate on graduate
committees.
Financial Assistance
ENST offers a number of graduate assistantships to qualified applicants that are awarded on a competitive basis. To apply,
use the form for requesting financial assistance included in the Graduate School application packet. In addition to a
competitive stipend, graduate assistants receive tuition remission and are offered excellent health benefits by the University
of Maryland.
Contact Information
ENST Grad. Pgm. Admin. Asst./Tina Scites
Dept. Environmental Science and Technology, 1426 An.Sci./Ag.Eng. Bldg.,
University of Maryland, College Park
MD 20742
Telephone: 301-405-1198
Fax:301-314-9023
tscites@umd.edu
http://www.enst.umd.edu/graduate/index.cfm
ENST Director of Graduate Studies/Dr. Martin C. Rabenhorst
Dept. Environmental Science and Technology, 1 1 09 H.J. Patterson Hall,
University of Maryland, College Park,
MD 20742
Telephone: 301-405-1343
Fax:301-314-2763
gradstudies-enst@umd.edu
http://agnr.umd.edu/departments/enst/graduate/
Courses: ENST
Related Programs and Campus Units
Landscape Architecture
Family Science (FMSC)
Abstract
The Department of Family Science prepares students to describe, explain, and improve the quality of family life through
applied research, education, therapy, human service program management, policy analysis, and advocacy. The approach is
interdisciplinary, emphasizing individual, interpersonal, and social change. The program of study is based on a systems or
ecological paradigm, combining the perspectives of interrelated professional fields including family science, couple and
family therapy, maternal and child health, family policy, behavioral science, and human service program management.
Graduates are prepared for careers in the public, non-profit and private sectors, including university teaching, research,
family policy analysis, and administrative positions in human service and public health programs.
185
The Department offers graduate programs leading to the Master of Science (M.S.) in Couple and Family Therapy, Doctor of
Philosophy (Ph.D.) in Family Science, and Doctor of Philosophy (Ph.D.) in Maternal and Child Health (MCH) degrees.
Students applying the PhD program in Family Science should have a Master's degree in Family Science or a related
behavioral or social science. It is possible for a limited number of students to be accepted into the Family Science Ph.D.
program with only a Bachelor's degree, but they must complete a Master of Science (M.S.) degree in Couple and Family
Therapy in route to the Ph.D. Most Maternal and Child Health Ph.D. applicants have a Master's Degree in Public Health
(MPH), or an applied behavioral or biologicalonly science. Prior to entry, MCH students must also have completed at least
one semester of a university-supervised, graduate level professional experience in a public health or mental health setting.
MCH students without the five MPH core courses must complete missing courses (biostatistics, epidemiology,
environmental health sciences, health services administration, and health behavior) within one academic year of their entry
into the program.
The M.S. program in Couple and Family Therapy is accredited by the Commission on Accreditation for Marriage and Family
Therapy Education of the American Association for Marriage and Family Therapy (AAMFT). The program provides students
with the counseling work and supervised clinical training typically required in states with Marriage and Family Therapy
Licensure. The curriculum is based on an integrative approach to family therapy. From a general systems perspective,
students acquire a broad knowledge of family therapy approaches and related theory. Didactic course material is
continuously applied in supervised clinical practice in order to integrate theory and practice into a total learning experience.
The Ph.D. in Family Science is a research-oriented program examining internal family processes, as well as the dynamic
interaction of families with the biological, psychological, social, political, and economic aspects of their environment. The
integrated program of study focuses on family theory, research methodology, family policy, family programs, ethnic families,
and major issues confronting contemporary families. Students learn to design, implement, and evaluate culturally-sensitive
interventions addressing family needs and to analyze the consequences of public/private policies on family well-being.
The Maternal and Child Health Ph.D. program provides interdisciplinary training in research, practice, and policy relevant to
health problems and services for women, infants, children, adolescents, and their families (including men). The MCH
program prepares students to advance research, policy and practice to improve the health, safety, and well-being of these
groups, with a particular emphasis on low income and ethnic minority populations.
Admissions Information
Admission standards for the M.S. in Couple and Family Therapy include: a minimum 3.0 undergraduate grade point
average, a score of 1000 or better on the GRE for the verbal and quantitative combined, three strong letters of
recommendation, and a statement of personal and professional objectives.
Students applying to the Couple and Family Therapy program must apply by January 15 (International students must apply
by January 1). Applicants must also download and complete the additional "Couple and Family Therapy Application Form,"
available on our website, http://www.sph.umd.edu/fmsc/graduate/ms/admission.html. Students are only admitted to the
Couple and Family Therapy program for the Fall semester.
The Family Science Ph.D. program considers applications from students with a Master's or Bachelor's degree in family
science, public health, or a related discipline. Students admitted to the Ph.D. program in Family Science with a
baccalaureate degree must complete the M.S. in Couple and Family Therapy with a thesis en route to the Ph.D.
The Maternal and Child Health Ph.D. program considers applications from students with a Master's degree in Public Health
(M.PH.) or a social/behavioral/biological sciences Master's degree that focuses on family, maternal, and/or child health
issues (including mental health). Prior to entry, students must also have completed at least one semester of a university-
supervised, graduate level professional experience in a public health or mental health setting. Applicants with a Master's
degree other than an MPH degree must complete the required 5 public health core courses (biostatistics, epidemiology,
environmental health, health services administration, and social and behavioral sciences) within one academic year of their
entry into the program.
In addition to meeting Graduate School requirements, students are selected for the Ph.D. program based on: the quality of
previous undergraduate and/or graduate coursework, the strength of GRE scores (minimum of 1000 required), letters of
recommendation from three persons competent to judge the applicant's probable success in a doctoral program, research
and/or relevant work experience, and professional goals congruent with those of the program. The deadline for applications
to both Ph.D. programs is December 15.
The Department encourages applications from members of racial/ethnic minority groups for both its M.S. and Ph.D.
programs.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: December 15
Preferred: December 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 15
Preferred: December 15
Application Requirements
1 . GRE Scores
2. 3 Letters of Recommendation
186
3. Statement of Goals
4. Transcripts
5. Master's thesis or other research sample (for those entering Ph.D. program with a Master's degree)
6. Couple and Family Therapy Application Form (M.S. only)
Degree Requirements
Master of Science (M.S.)
The Couple and Family Therapy M.S. program requires 48 credits for the non-thesis option and 51 credits for the thesis
option, which includes a two-year internship sequence.
Doctor of Philosophy (Ph.D.)
The Ph.D. program in Family Science requires 51 graduate credit hours beyond the Master's degree, including 30 core
credits (theory, issues, research methodology, statistics), 6 elective credits, 3 research internship credits, and 12 dissertation
credits.
The Ph.D. program in Maternal and Child Health requires 48 graduate credit hours beyond the Master's degree, including 21
core credits (theory, issues) 12 research methods and statistics credits, 3 elective credits, and 12 dissertation credits.
Students in both Ph.D. programs must also submit an individual study plan, pass a comprehensive examination, and
complete a dissertation and oral defense.
Facilities and Special Resources
The University's close proximity to the nation's capital, the state capital in Annapolis, federal executive departments, and
headquarters of national professional and public interest associations provide research and internship placements for
studying family policy unmatched by any other graduate program in the discipline. The Washington-Baltimore metropolitan
area offers rich opportunities for research on culturally and socioeconomically diverse families. The campus and department
have excellent computer facilities. Students have ready access to the University's extensive library systems, as well as
holdings from the Library of Congress, the National Institutes of Health, National Library of Medicine, National Archives, and
many other library collections.
Family Research Center: This departmental Center promotes family research by securing extramural funding and
encouraging cooperative research ventures within the University and with other institutions. The Center also hosts
international scholars engaged in cross-cultural studies of the family and serves as a resource of family information for
citizens of Maryland and the nation.
Center for Healthy Families: This Center is the training and research arm of the Couple and Family Therapy Program in the
Department of Family Science. Departmental graduate students and faculty provide clinical and educational services to
families from surrounding communities in this new, state-of-the-art facility. Master's and doctoral students use data collected
at the Center for research projects.
Center for Young Adult Health and Development (CYAHD): In December 2009, the Center on Young Adult Health and
Development was established as part of the Department of Family Science. This research center is the first such center in
the United States specifically dedicated to understanding the health and development of young adults. As Director, Amelia
Arria plans to use her experience with the College Life Study (CLS) studying adolescent and young adult health-risk
behaviors, to further our knowledge regarding a broad spectrum of issues affecting young adult health and development.
Financial Assistance
Financial assistance for Ph.D. students is available through university fellowships and departmental teaching and research
assistantships. Some assistantships may be available for M.S. students depending on departmental funding and faculty
grants. Students may also seek assistantships in other campus units and/or apply for doctoral fellowships sponsored by
federal agencies (e.g., NIH, DHHS).
Contact Information
For further information, contact:
Director of Graduate Studies
1 142 School of Public Health
MD 20742
Telephone: (301) 405-3672
Fax:(301)314-9161
fmsc@umd.edu
http://www.sph.umd.edu/fmsc/
Courses: EPIB EDMS PUAF FMSC
Related Programs and Campus Units
Nutrition
Public Health: Maternal and Child Health Ph.D.
Family Service Center
Public Health: Epidemiology Ph.D.
Psychology
Sociology
Health Education
187
Epidemiology and Biostatistics
Maryland Population Research Center (MPRC)
Food Science (FDSC)
The Department of Nutrition and Food Science offers courses that may involve the use of animals. Students who are
concerned about the use of animals in teaching have the responsibility to contact the instructor, prior to course enrollment,
to determine whether animals are to be used in the course, whether class exercises involving animals are optional or
required, and what alternatives, if any, are available.
Abstract
The Food Science Graduate Program is an interdepartmental program administered by the Department of Nutrition and
Food Science (NFSC). The program offers graduate study leading to the M.S. and Ph.D. degrees in food science. Both M.S.
and Ph.D. programs require completion of a research project either a thesis for the master's degree or a dissertation for the
doctoral degree. A graduate faculty is responsible for graduate admission and curriculum maintenance. Currently, there are
approximately 27 graduate students enrolled in the Graduate Program in Food Science and there are 12 graduate faculty
members.
Admissions Information
A strong background in food science, physical, chemical or biological sciences, or engineering is highly desirable.
Acceptance is based upon academic transcripts with a minimum undergraduate grade point average of a 3.0 (on a 4.0
scale) requirement, three letters of recommendation, and a statement of objectives and professional experience. All
applicants must take the Graduate Record Examination (GRE-General Test). A minimum score of 500 is required in each of
the Verbal and Quantitative sections and a score of 3.5-6.0 is required in the Analytical Writing section. If the GRE General
test was taken prior to October 2002, the minimum score required in each section of the GRE is 500, for a total of 1500.
International students must take the TOEFL, a minimum score of 100(IBT)is required. International applicants must also
submit documentation of adequate financial support for their studies. An additional requirement for admission is identification
of a research advisor prepared to accept the applicant as an advisee. Offers of admission (or rejection) are made by the
Graduate School based upon the recommendation of the Director of the Graduate Program in Food Science and the
Graduate Faculty Education Committee.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: December 15
Deadline: June 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 15
Deadline: June 1
Application Requirements
1. GRE General
2. 3 Letters of Recommendation
3. TOEFL scores for international applicants
Degree Requirements
Master of Science (M.S.)
During their second semester, a faculty advisory committee will be formed and chaired by the student's faculty advisor.
His/her faculty advisory committee will develop an approved program of study for each graduate student.
M.S. Degree - Thesis Option
1 . A minimum of 30 graduate credits of course work including a minimum of 12 credits of 600 level courses and a minimum
of 6 graduate credits of master's thesis research (NFSC 799).
2. A research thesis must be submitted and defended before a faculty examining committee approved by the Graduate
School.
3. A manuscript, i.e. one or more research papers based upon the thesis, will be submitted to a referred journal for review
and publication.
An average duration of a Master's project is 2-3 years depending upon prior education and experience.
Doctor of Philosophy (Ph.D.)
1 . An equivalent of a thesis option M.S. degree is required.
2. Completion of the program of study established by the student's faculty advisory committee. A minimum GPA of 3.0 is
required to maintain good academic progress for graduation.
3. A minimum of 27 credit hours of graduate study is required to graduate (including courses, seminars, and a requirement
of 12 credits of Doctoral Dissertation Research-NFSC 899). A dissertation proposal must be presented to the faculty
advisory committee for approval no later than the end of the third semester of study.
4. A comprehensive oral examination conducted by the faculty advisory committee preferably before the end of the 4th
semester of study must be taken. Based upon the results of the oral examination, the student shall: 1) be admitted to
188
candidacy for the Ph.D. degree; 2) be required to undertake additional study; 3) not be allowed to continue in graduate
school.
5. The candidate will prepare and defend a dissertation before a faculty advisory committee.
6. The candidate will prepare one or more research papers(manuscripts) based upon the dissertation for submittal to a
referred journal.
Facilities and Special Resources
The Program maintains equipment for conducting both basic an applied research through the individual participating faculty
members. The facilities are located in the Departments of Nutrition and Food Sciences, Animal and Avian Sciences, Cell
Biology & Molecular Genetics, and Natural Resource Sciences and Landscape Architecture. There are also collaborative
arrangements with the National Institutes of Health, Food and Drug Administration, and the United States Department of
Agriculture. The library facilities are extensive. The resources of several national libraries; the National Archives, the
National Agriculture Library, the Library of Congress, and the National Library of Medicine, which are within ten miles from
the campus.
Financial Assistance
Financial support for graduate students is available on a competitive basis. The Department of Nutrition and Food Science
offers a limited number of graduate teaching assistantships. Applicants interested in a teaching assistant position should
complete the Merit-Base Award Form and submit to the Graduate Program in Food Science office by the stated graduate
application deadline. International teaching assistants who are not native speakers of English are required by the University
of Maryland to take part in the International Teaching Assistant evaluation. This includes international teaching assistants
who may have been educated entirely in English and those with Bachelor and Master's degrees from universities in English-
speaking countries. A limited number of research assistantships are available from grant funds with the student assisting in
the research supported under the grant. The research often may be applicable to the thesis or dissertation. The University of
Maryland emphasizes diversity in its recruitment and support of graduate students. Other types of financial aid are also
available, including a work-study program, grants, fellowships, and loans.
Contact Information
Additional information concerning admission requirements, courses, faculty, and facilities are available from:
Sara Kao, Coordinator, Student Programs
01 12 Skinner Building
College Park
MD 20742-7640
Telephone: (301) 405-8980
Fax:(301)314-3313
sarakao@umd.edu
http://www.agnr.umd.edu/users/nfsc/staff.htm
Dr. Y. Martin Lo, Program Director
3102 Marie Mount Hall
College Park
MD 20740
Telephone: 301-405-4509
Fax:301-314-3313
ymlo@umd.edu
www.agnr.umd.edu/lo
Courses: NFSC
Related Programs and Campus Units
Animal and Avian Sciences
Biological Resources Engineering
Cell Biology and Molecular Genetics
Natural Resource Sciences and Landscape Architecture
Virginia-Maryland Regional College of Veterinary Medicine
Nutrition
French Language and Literature (FRIT)
Abstract
The Department of French and Italian prepares students for the Master of Arts (FRIT) and Doctor of Philosophy (FRMS)
degrees in French language, literature and culture. The research interests of the graduate faculty span the Renaissance to
the present. For the doctoral program, consult the graduate catalog under "Modern French Studies."
Admissions Information
The M.A. program, which offers both a thesis and non-thesis option, is open to students who have a solid grounding in
French language and literature. An overall Grade Point Average of at least 3.00 (on a four-point scale) at the undergraduate
level is required. Further application requirements include: 1) Graduate School application, 2) statement of purpose
189
(including research interests), 3) three letters of recommendation, 4) official academic transcripts for all undergraduate work,
5) GRE scores, 6) a writing sample, and 7) a resume or Curriculum Vitae. International applicants must also submit TOEFL
scores.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Preferred: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Preferred: February 1
Application Requirements
• Graduate School Application
• GRE Scores
• Letters of Recommendation
• Writing Sample
• Sample Writing
• Resume or Curriculum Vitae
• Statement of Purpose
• TOEFL Scores (for International Applicants>
Degree Requirements
Doctor of Philosophy (see FRMS under "Modern French Studies") (Ph.D.)
Master of Arts (M.A.)
The M.A. without thesis requires a minimum of 30 credits, of which at least 18 must be selected from courses numbered 600
or above. In lieu of a thesis, students must present a Qualifying Paper of between 25 and 30 pages in length as evidence of
their ability to do independent research. The M.A. with thesis requires a minimum of 24 credits, of which not less than 12
must be selected from courses numbered 600 or above. A further six credits (thesis research/French 799) are required. The
M.A. thesis committee consists of 2 faculty members in addition to the student's thesis director, who serves as chairperson.
There is an oral examination on the thesis, which should be a minimum of 80 pages in length. (See Department Website for
complete information)
Facilities and Special Resources
With a total student enrollment of over 35,000, the University of Maryland is supported in its academic endeavors by the
University Libraries, a system of eight libraries and more than three million volumes. Other area research facilities include
two of the world's outstanding libraries: the Library of Congress and the Folger Library, both of which have extensive
holdings in French. The School of Languages, Literatures and Cultures, the Women's Studies Program, and the David C.
Driskell Center For The Study of The Visual Arts and Culture of African Americans and The African Diaspora, among other
campus units, offer seminars, lectures, and symposia on a wide variety of topics relevant to graduate students in French.
Financial Assistance
Graduate applicants can request to be considered for Teaching Assistantships and Graduate Fellowships. Graduate
Teaching Assistantships carry ten-month stipends, plus remission of all fees (10 credits) other than those for registration and
health facilities.
Contact Information
Additional information on program offerings, degree requirements and financial aid can be obtained on the department's
Web site (http://www.languages.umd.edu/Frenchltalian) and by writing to:
Director of Graduate Studies in French/Dr. Caroline Eades
3215 Jimenez Hall
University of Maryland College Park
MD 20742
Telephone: (301) 405-4024
http://www.languages.umd.edu/Frenchltalian
Courses: FREN
Geography (GEOG)
Abstract
The Department of Geography offers graduate study leading to the Doctor of Philosophy and Master of Professional Studies
in Geospatial Information Sciences.
The specific research specializations represented by the faculty include:
190
Human Dimensions of Global Change: Demographic, social, cultural, and economic aspects of human systems with
particular emphasis on integration with physical systems. Population, minorities (African-American), women, transportation,
health, urban and regional systems, geographical education. Global, regional (Africa and Latin America), mid-Atlantic,
southern portion of Megalopolis, and Chesapeake Bay.
Environmental and Biological Aspects of Global Change: Biogeographical, biophysical, hydrological, and geomorphological
aspects of Earth System Science with particular emphasis on integration with human systems. Land-use and land-cover
change, vegetation and ecosystem dynamics, carbon disturbance, fire, sea level rise, climate variability, biodiversity, and
biospheric processes in global climate modeling. Special attention is given to issues of scaling, with foci from local to global
scale, and regionally to North America, Africa, Boreal Forests, Eurasia, and Latin America. The Department specializes in
the remote sensing and modeling of land-surface dynamics, and carbon
Geospatial Information Sciences: Observation, processing, and analysis of geographic data. Remote sensing, geographic
information systems, digital cartography, spatial analysis, and numerical modeling. Particular emphasis is on remote sensing
(e.g. Landsat, AVHRR, MODIS) including active remote sensing techniques (lidar and radar), regional to global scale data
systems, scaling theory, and spatial variance. Applications to human and physical aspects of Geography.
The Department contains several specialized groups, including the Global Land Cover Facility, as well as several smaller
groupings of research interests. The Department also has close ties with cross-campus research initiatives, including the
Earth Systems Science Interdisciplinary Center (ESSIC) and the Joint Global Change Research Institute (JGCRI). ESSIC is
an initiative that brings together the Departments of Geography, Geology and Atmosphere and Ocean Science in a
Research Institute to further encourage interdisciplinary studies to address contemporary questions in Earth Systems
Science. JCGRI is a collaboration between the University of Maryland and the Pacific Northwest National Laboratory and is
dedicated to understanding the problems of global climate change and their potential solutions.
Admissions Information
The Department offers courses of study leading to the Ph.D. degree and the MPS (masters in professional studies). The
MPS program is administered separately and has different admission deadlines and requirements than the Ph.D. program.
The Department no longer offers an M.A. option. All students are admitted directly to the Ph.D. program.
Ph.D. Program
Admission into the program is strongly competitive. Students may be admitted with either an undergraduate or masters level
degree. Minimum requirements are: GPA B (3.0) average in junior and senior year; GRE verbal 600 and quantitative 600;
three letters of recommendation, preferably from academic reviewers. For international students, the following additional
minimum test scores apply: Test of English as a Foreign Language (TOEFL) [paper test 600, written portion 5; computer-
based test 250; internet-based test 100]. International students who are applicants for teaching assistantships must also
pass an International Teaching Assistant Oral Evaluation by the University's Maryland English Institute (MEI).
The Department admits students to our doctoral program that have already completed a master's degree and exceptionally
well qualified students who have only completed a bachelor's degree. Admitted students are required to either possess or
shall develop a strong foundation in the discipline of Geography. Admission to the Ph.D. program is not limited to students
with a Geography degree. Those with degrees in related disciplines such as environmental, physical or biological sciences,
anthropology, economics, history and social science are encouraged to apply but may be required to undertake additional
background study. Some knowledge of data processing and statistics is necessary for all applicants.
Applicants proposed program of study must clearly draw on the research strengths of existing faculty members. All
applicants are strongly encouraged to contact individual faculty members (in person, by phone, or by email) to discuss their
research interests and to identify potential advisors. Admission to the doctoral program is dependent on the support of two
tenured/tenure-track faculty.
In general, the Department admits between 10-15 students each year into the Ph.D. program. Virtually all students accepted
are fully-funded through assistantships and fellowships. While there is no longer a formal M.A. program, a terminal master's
degree may be received for qualified students who are unable to complete the Ph.D. program.
Closing date for applications into the Ph.D. program is January 15. Applications are reviewed from December to February
for Fall entry; there is no Spring entry. The Graduate School will accept applications up to May 1 for certain visa categories
(see below). However, applications received by the Department after January 15 have a reduced chance of being
considered for Fall entry and financial aid. The following are required for application into the program:
1. GRE General
2. 3 Letters of Recommendation
3. Statement of Goals and Research Interests and Statement of Experiences
4. International applicants: TOEFL (also MEI oral exam for TAs)
In addition we strongly encourage the following: evidence of contact with faculty members, an example of writing or
scholarship, and a current CV.
Masters of Professional Studies in Geospatial Information Sciences
The Master's Degree and Graduate Certificate in Geospatial Information Sciences offers comprehensive training in the key
areas of GIS. Applicants can choose between a 31 -credit Master's Degree and a 12-credit Graduate Certificate in
Professional Studies. See Degree and Certificate requirements below, as well as on the MPS GIS Web Site .
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 12
191
Type of Applicant
Fall
Spring
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 12
Application Requirements
See admissions information.
Degree Requirements
Doctor of Philosophy (Ph.D.)
The study program is individually designed by the student and a faculty committee. Two introductory courses (6 cr) (unless
taken in Master's program), Research Tutorial (3 cr) (or equivalent credits of Independent Readings when more
appropriate), attendance at Departmental Seminars (3 cr), optional elective courses, a dissertation proposal defense, a
minimum of 1 2 dissertation credits after advancement to candidacy, and a dissertation. For those entering with a master's
degree in geography, the PhD should be completed within 4 years; For those entering with bachelors or without a geography
background, the PhD should be completed within 5 years. Part-time study takes longer, but at least 1 year full-time
attendance is required. Students entering with a B.A. or without a Geography background will take one course each in the
following areas: Human, Physical, and Methods.
Master of Professional Studies in Geospatial Information Sciences (M.P.S.G.I.S.)
The Master's Degree and Graduate Certificate in Geospatial Information Sciences offers comprehensive training in the key
areas of GIS, including geographic information sciences, remote sensing techniques, spatial analytical methods, modeling
and specialized computer programming tailored to GIS needs. Applicants can choose between a 31 -credit Master's Degree
and a 12-credit Graduate Certificate in Professional Studies. See more detailed Degree and Certificate requirements, as well
as admission requirements and application forms, on the MPS GIS Web Site .
In the MPS program, lectures are delivered across the Internet using advanced audio and video technology. Students are
not required to be physically present except for orientation and a final capstone class. Thus, applications are accepted
nationally.
A GPA of 3.0 is normally required for admission into this program while rare exceptions can be made. GRE is not required.
Students can be admitted into the program with various backgrounds, however, there are some prerequisite requirements
that generally must be met. Students with an MPS degree are eligible to apply for admission into Ph.D. programs world-
wide, including ours.
Students are admitted to the program only for the Fall Term. The deadline for applications for International students is
January 15. and for U.S. citizens and permanent residents it is March 15. U.S. citizens and permanent residents who have
completed the prerequisites, may apply as late as August 1 and will be considered as long as there is room in the program.
Master of Arts (M.A.)
NOTE: The Department of Geography do not offer a terminal Master of Arts program and will not accept or enroll students
for the single purpose of acquiring a Master of Arts degree. Doctoral students may obtain a Master of Arts degree during
their course of doctoral study, requirements of which are set by the department. Award of this degree is granted only upon
demonstration of a high level of scholastic achievement, not simply for completion of course requirements.
Facilities and Special Resources
The Washington, D.C. metropolitan area is an exceptional location in which to pursue geographic research. Many national
and international agencies are within a short distance of the campus, including the NASA Goddard Space Flight Center, the
USDA Beltsville Agricultural Research Center, the National Archives, Bureau of the Census, National Institutes of Health,
USGS, National Geospatial Imaging Agency, Smithsonian Institution, and NOAA. International and non-governmental
agencies are located within easy reach, including the National Geographic Society, the Nature Conservancy, World Wildlife
Fund, World Bank, and many others. Corporations, businesses and nonprofit organizations that use geographical
applications are also well represented. Libraries on campus and nearby are unrivaled elsewhere in the world. The University
is also located in a region of extraordinary geographic diversity, including two major urban centers (Baltimore and
Washington, D.C), and the superb, continuous section from the Appalachian mountains, through the Piedmont, Coastal
Plain, and Chesapeake Bay to the Atlantic Coast.
Many opportunities exist for students to participate in externally funded research projects. Graduate students find these
research programs a rich source of ideas for dissertations as well as providing opportunities to join projects as paid research
assistants and, often, identifying openings for employment on completion of their studies.
The Department is housed in over 35,000 sq. ft. on the main College Park campus. Teaching laboratories include facilities
for cartography, GIS, and the Turner laboratories dedicated to computer-based instruction, while other facilities needed for
virtually any type of investigation are available through collaborations with other departments. There are two primary
computer environments, namely PC and UNIX, with over 100 machines dedicated to teaching and graduate research. The
research laboratories support UNIX, Linux, and high-end PC machines, including very high performance processors and
peripherals and large volume RAID arrays. There are a large number of printers, magnetic disk farms, tape carrousels, etc.
An extensive range of software is available, including satellite data processing, image analysis, and ESRI GIS packages.
Field research, remote sensing, global positioning systems, and other types of equipment are available.
Financial Assistance
Teaching Assistantships, Research Assistantships, and various Fellowships are available. Salary is for 9.5 months per year.
Assistants work 20 hours per week. Fellowship recipients have no work assignment. Depending upon resources, the
department will provide up to four years of funding, provided the student meets the department's benchmarks (see the PhD
192
Handbook ). Applications are made on the University Graduate Admission Application and further information about Financial
Aid is given in the Application. Note, residents of certain Southern States without equivalent Geography graduate programs
may be eligible to receive tuition at the lower, in-state fee rates.
Contact Information
More detailed information on the MPS and Ph.D. programs can be obtained by reviewing the Department's Doctoral Program
Web Site or the MPS GIS Web Site . Call or e-mail Assistant Director of Academic Programs for more information. To arrange
consultations with the Graduate Director and individual faculty, call the Department at (301) -405-8085.
Assistant Director of Academic Programs
2181 LeFrakHall
MD 20742
Telephone: (301) 405-8085 or (301 ) 405-4050
Fax:(301)314-9299
crossgro@umd.edu
http://www.geog.umd.edu/
Courses: GEOG
Related Programs and Campus Units
Environmental Science and Policy
Earth System Science Interdisciplinary Center
Joint Global Change Research Institute
Geospatial Information Sciences
Geography/Library & Information Systems (GELS)
Abstract
This dual degree program is no longer accepting applications.
Admissions Information
Application Deadlines
Type of Applicant
Application Requirements
Degree Requirements
Financial Assistance
Courses: LBSC GEOG
Geology (GEOL)
Abstract
The Department of Geology offers programs leading to the M.S. and Ph.D. degrees. On a full time basis, the M.S. normally
requires two to three years of work, which includes courses, the completion of an M.S. research thesis, and an oral defense
of the thesis. On a full time basis, the Ph.D. commonly requires three to four years of work, if conducted after the completion
of an M.S. program, or four to five years from the time of admission if pursued directly from the Bachelor level. The Ph.D.
program normally includes course work, a qualifying examination and proposal defense, a dissertation, and an oral defense
and examination of the dissertation.
Our students are required to engage in independent and original research under a mentoring program that promotes
creative thinking. This is most commonly achieved via the collaboration between students and faculty in ongoing research
programs. Geology is concerned with the Earth, its origin and evolution and the origin of life, and the processes by which
Earth's atmosphere, surface and interior have been and continue to be modified. To pursue these topics we have developed
research strengths in four themes: Geochemistry, which involves investigations of low- to high-temperature processes
operating from Earth's surface to its core and within the Solar System; Solid Earth Science, which is the study of the
minerals, rocks, and structures that constitute Earth, and the tectonic and other processes by which they are formed and
altered; Surficial Processes and Environments, which involves the study of active and past fluxes (and reservoirs) of water,
dissolved components, and sediment on Earth's surface and the interactions of these fluxes with the biosphere and
atmosphere; and, Geophysics, which includes investigations of Earth's interior structure and dynamics, as well as planetary
physics. These areas are not mutually exclusive, and students are encouraged to develop a program that suits their
interests. Developing areas within the Department include planetary geology and forensics.
Although students will choose an advisor within the Department of Geology, they may also wish to take advantage of
research opportunities provided by collaboration with other departments on campus, such as Mathematics, particularly the
Applied Mathematics and Scientific Computation Program (AMSC), Atmospheric and Oceanic Science, Physics, Geography,
and Chemistry, as well as other institutions in the area including the Smithsonian Institution, United States Geological
Survey, NASA, Department of Terrestrial Magnetism, Geophysical Lab and National Institute of Standards and Technology.
The Earth System Science Interdisciplinary Center is a collaborative venture between the Departments of Geography,
193
Geology and Atmospheric and Ocean Science on Campus, and the Earth Sciences Directorate at NASA Goddard. This
wealth of in-house and collaborative resources positions our graduate students with an unmatched spectrum of opportunities
and gives them access to a strong multi-disciplinary program of international stature.
Our current student demographics are diverse, with an approximate 50:50 mix of male and female students of which 10-20%
are minority students. Approximately 60% of our graduate students are Ph.D. candidates (the remaining are M.S. students),
and some of the M.S. students will petition to become Ph.D. candidates following the successful completion of their M.S.
degree program. Other M.S. candidates are focused solely on the M.S. degree, which is the commonly held degree for
practicing professionals in government and industry.
Our graduate students benefit from the opportunities of working within an advanced graduate program. Our graduates go on
to distinguished post-doc, research and applied positions in academic, government and industrial settings. We proudly
acknowledge having placed our students into prestigious post-doc positions and government laboratories and we highlight
their publications (see http://www. geol.umd.edu/pages/graduates/gradpubs. htm ), presentations at national and international
meetings (see http://www.geol.umd.edu/pages/graduates/gradpresentations.htm ) and awards
(see http://www. geol.umd.edu/graduates/gradfunding.htm ) .
Admissions Information
Qualified students with a B.S. degree in geology, physics, mathematics, chemistry, biology, engineering or other related
sciences are invited to apply for admission to the graduate programs. Our graduate degree program in geophysics
welcomes students with undergraduate degrees in physics and or astronomy having little to no background in geology.
Coursework expectations for students applying to the program is at least a year of calculus, a semester of physics for
science majors, and for those in the in geology and geochemistry track a year of chemistry or its equivalent. All students
must submit the Graduate Record Examination scores to be considered for admission.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: March 15
Preferred: January 15
Deadline: October 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Preferred: January 15
I
Deadline: June 1
Application Requirements
1. GRE-general highly recommended
2. Three letters of recommendation
Degree Requirements
Master of Science (M.S.)
The Department of Geology offers a Master of Science degree. There is no single prescribed curriculum. Although 24 credit
hours of course work and 6 credit hours of thesis research are required, the entire course of study is individually developed
for each student by his/her graduate program committee as approved by the Director of Graduate Studies. The M.S. degree
is awarded following the successful completion of the course requirements, defense of a proposal, submission of a
satisfactory thesis, and an oral defense of the thesis. The M.S. normally requires two years of work.
Doctor of Philosophy (Ph.D.)
Students who have an M.S. degree must normally complete a minimum of 12 credits of coursework applicable to a graduate
degree with at least 9 credits at the 600 level or above. Coursework requirements for students who do not hold an M.S.
degree will be established by the Director of Graduate Studies after discussion with the student's advisor but normally will be
30 credits of coursework applicable to a graduate degree, 21 of which must be at the 600 level or above, and normally 24
credits must be from the Department of Geology, or in the case of an interdisciplinary study, an appropriate program
approved by the Director of Graduate Studies. The Ph.D. degree requirements include satisfactory completion of course
work, defense of a research proposal, an oral candidacy and research proposal examination, and a successful dissertation
defense. The Ph.D. commonly requires three to four years of work, if conducted after the completion of an M.S. program, or
four to five years from the time of admission if pursued directly from the bachelor level.
Facilities and Special Resources
The Department maintains a suite of state-of-the-art facilities and equipment for research, including: three solid source mass
spectrometers, six gas source mass spectrometers, with inlet devices for inorganic and organic isotope analyses, single and
multicollector inductively-coupled plasma mass spectrometers (ICP-MS), three UV lasers for in situ analyses with gas-
source and plasma mass spectrometer, two chemical clean labs, with ion chromatographic facilities, JEOL 8900 superprobe
with an Oxford instrument mini-cathodoluminescence detector, Scanning and Transmission Electron Microscopes, color
image analysis system, fluid inclusion stage, high temperature and high pressure equipment for dry or hydrothermal
experiments, diamond anvil cell facilities, including laser heating and external heating, two triaxial deformation apparatii with
flow through capacity and acoustic emission recording, flame and graphite furnace atomic absorption equipment,
spectrophotometers, HPLC with fluorescence detector, UV lamps and monochronometer for photochemistry, anoxic
chamber, Geographic Information Systems (GIS) computational laboratory, electromagnetic and acoustic doppler velocity
meters, laboratory and field hydrogeology equipment, campus drill rig, microstructures and fabrics analysis instruments,
research microscopes with reflectance capabilities, rock preparation and mineral separation laboratories, computer network
194
with direct access to supercomputer facilities, nitrogen Permeameter 400, helium Porosimeter 300, Solaris Impedance
Meters.
Further information is found at the following URL http://www.geol.umd.edu/labs.htm
Financial Assistance
Graduate students are eligible for Departmental teaching assistantships, Graduate School fellowships and grant-supported
fellowships and research assistantships. In addition, some curatorial, library and other part-time work is sometimes
available.
Contact Information
See the Department of Geology Web page at URL http://www.. geol.umd.edu for additional information. The Department's
Graduate Studies in Geological Sciences also provides additional information on the requirements, examinations, faculty
research interests and publications, research facilities and financial aid. Copies are available from:
Graduate Coordinator
1118 Geology Building, University of Maryland, College Park
MD 20742
Telephone: (301) 405-4065
geolgrad@deans.umd.edu
http://www.geol.umd.edu/
Courses: GEOL
Related Programs and Campus Units
Geospatial Information Sciences (MPSG)
Abstract
The Master of Professional Studies and Graduate Certificate in Geospatial Information Sciences Program is dedicated to
providing the most up-to-date training on geospatial technology, theory and applications. The courses cover spatial analysis,
remote sensing, spatial statistics, modeling, programming, spatial databases, and Internet GIS. Students in this program can
pursue either a Master degree or Graduate Certificate.
In the program, lectures are delivered across the Internet using advanced audio and video technology. Students use
webcams and headsets with microphones to attend lectures in real time. The entire online lectures (lecture slides,
presentation, and Q&A interactions) are video-archived for reviewing. Students also have the option to come to campus to
meet fellow students and the Teaching Assistant in the lab during lecture hours. All courses are scheduled in the evenings to
accommodate working professionals.
Our program is one of the ESRI Development Centers (EDCs).
Admissions Information
The Graduate School requires all admitted graduate students to have a baccalaureate degree from a regionally accredited
college or university in the United States, or the equivalent of a baccalaureate degree in another country. A GPA of 3.0 is
normally required for admission into this program. Applicants with an undergraduate GPA of less than 3.0 may be admitted
on a provisional basis.
Applicants with foreign credentials must submit academic records in the original language with literal English translations.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Preferred: March 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Preferred: January 31
Application Requirements
1 . Graduate Application form
2. Transcripts from all universities/colleges attended
3. Cover letter or personal statement
4. C.V.
5. A list of three references (the recommendation letters are not required at the time of application)
6. GRE is not required
7. International students are required to submit TOEFL scores.
Degree Requirements
Master of Professional Studies (M.P.S.)
Students choosing the Master of Professional Studies degree track need to complete 31 credit hours of approved
coursework with an average grade of B.
195
Graduate Certificate (GC)
Students choosing the Graduate Certificate track need to complete 12 credit hours of approved coursework with an average
grade of B.
Facilities and Special Resources
Even though this is an online program, all registered students have full access to the facilities and resources (e.g. libraries,
gym, computer labs) on campus just like any other traditional student. Students also have full access to the resources (e.g.
computer labs, software applications, seminars, etc.) in the Geography Department as regular graduate students. The
program has a dedicated lab for its students as well where they can study or take lectures in a real environment if they want
to.
Financial Assistance
There are no fellowships available in this program. However, there are potential Teaching Assistantships available
depending on the student's qualification.
Contact Information
Email: geog-gis@umd.edu Phone: 301-405-3861
Dr. Jianguo (Jack) Ma, Program Director
University of Maryland Department of Geography 1 133 LeFrak Hall
MD 20742
Telephone: 301.405.3861
Fax: 301 .31 4.9299
jma3@umd.edu
http://www.geog.umd.edu/gis
Courses: GEOG
Related Programs and Campus Units
Geography
German Literature and Language (GERM)
Abstract
The German Program of the Department of Germanic Studies offers graduate study leading to the M.A. and Ph.D. degrees.
The main focus is on Modern German Studies combining both discipline-based and interdisciplinary courses. The
intellectual focus of the degrees is German-speaking Europe from the Enlightenment to the present, as represented in
literary and non-literary texts, and other cultural productivity.
The degrees reflect the paradigm shift within the field of German language and literature expanding the focus of Germanistik
to a broader concentration on cultural studies which include gender studies, film studies, and postcolonial theory.
A concentration in Medieval Studies is also offered on an interdepartmental basis.
Admissions Information
In addition to the Graduate School requirements, candidates should have a bachelor's degree with a major in German
language and literature or the equivalent, and fluency in the written and spoken language. Candidates for the doctorate must
have a master's degree in German or in a related discipline such as Germanic studies, Scandinavian studies, language
education, and Medieval studies. The Program is seeking approval to allow candidates with a BA to enter the Ph.D. Program
earning the M.A. on the way.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 15
Preferred: January 15
Deadline: October 15
Preferred: October 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Preferred: February 1
Deadline: June 1
Preferred: June 1
Application Requirements
1 . No Tests
2. 3 Letters of Recommendation
3. Writing Sample
4. Oral Interview (in person or by phone) with Graduate Director
Degree Requirements
Master of Arts (M.A.)
The M.A. degree program offers both a thesis and non-thesis option. For the thesis option, the student must complete 24
hours of coursework, the thesis with oral defense and a written comprehensive examination. The non-thesis option requires
196
30 hours of coursework, a mini-thesis with oral defense and a written comprehensive examination. For both options the
comprehensives consist of two three-hour examinations based on the coursework and the M.A. reading list.
Doctor of Philosophy (Ph.D.)
Degree requirements for the Ph.D. are as follows: 1 ) completion of at least 24 hours of coursework beyond the master's
degree over a period of at least one year at the University of Maryland and a further 12 hours of dissertation research; 2) a
reading skill examination in a language other than English or German, which may be another Germanic language or a
language related to the candidate's research; 3) comprehensive written examinations; 4) presentation of the dissertation, an
original study in the field of specialization on a topic approved by the advisor and the examining committee; and 5) the oral
defense of the dissertation (one to two hours).
Facilities and Special Resources
In addition to its course offerings listed below, the German Program of the Department of Germanic Studies sponsors the
German Club, the University of Maryland Chapter of Delta Phi Alpha (the national German language honors society). The
department participates in the University Honors Programs and has a departmental honors program. Distinguished scholars
and lecturers as well as visiting professors visit the metropolitan area and campus regularly. College Park's proximity to
Washington, D.C., facilitates participation in the many cultural functions of the capital with its wealth of German and
Scandinavian social groups and national societies: the Embassies of Austria, Denmark, Germany, Norway, Sweden,
Switzerland; the German Historical Institute, and the Goethe Institute.
Financial Assistance
The German Program offers graduate fellowships and teaching assistantships, and the Graduate School offers, on a
competitive basis, fellowships, and grants.
Contact Information
For further information write to:
Professor Peter Beicken, Director of Graduate Studies
3215 Jimenez Hall
College Park
MD 20742
Telephone: (301) 405-4091
germanicstudies @ .umd.edu
http://www.languages.umd.edu/German/
Courses: GERM
Government and Politics (GVPT)
Abstract
The Department of Government and Politics offers a Ph.D. degree in political science, intended primarily for those planning
academic careers. Students can specialize in American politics, comparative politics, international relations, political
economy and political theory (either formal or normative). In addition, students can study in depth more specialized fields
such as public law, national security, public policy, political psychology, international and inter-ethnic conflict, international
political economy, urban politics, post-Soviet and post-communist studies, East-Asian studies, environmental politics, and
the politics of advanced industrial societies.
Admissions Information
The Department recruits highly qualified students, and admits only a limited number of the strongest applicants. The
Admissions Committee rarely grants provisional or conditional admission to the graduate program. The Department does not
usually admit M.A. applicants. Only students whose ultimate objective is the Ph.D. should apply for direct admission to that
program. Admission is granted only for the Fall Semester.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: February 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Application Requirements
1. GRE General
2. 3 Letters of Recommendation
3. Writing Sample
4. statement of purpose
5. transcripts
197
Degree Requirements
Doctor of Philosophy (Ph.D.)
The doctoral program is intended to provide students with the knowledge, methodological skills and research experience
appropriate for persons who intend to enter the discipline of political science. Students must complete 42 hours of graduate
work including courses in political theory and research methods and pass written comprehensive examinations in two fields.
Although formal coursework and field examinations are important components of the doctoral program, the research
component, especially in the form of the dissertation is paramount. Consequently students who are able to demonstrate an
interest in quality research activities and desire to become creators as well as consumers of knowledge are appropriate for
the doctoral program.
Facilities and Special Resources
Graduate students in the department participate in the activities of the Public Service Intern Program, Project ICONS, the
Center for International Development and Conflict Management, the Maryland Collective Choice Center, the Center for
International Security Studies at Maryland, the Center for the Study of Post-Communist Societies, The Committee on the
Political Economy of the Good Society, the Center for the Study of American Politics and Citizenship, and the Harrison
Program on the Future Global Agenda.
Financial Assistance
In addition to fellowships and teaching assistantships, the Department also has a public service intern program for students
interested in State government. There are also a limited and variable number of research positions available.
Contact Information
Further information, including a manual on graduate study, please contact:
Director of Graduate Studies
3140 Tydings Hall
MD 20742
Telephone: (301) 405-4161
g vptgrad @ deans.umd.edu
http://www.bsos.umd.edu/gvpt/
Courses: GVPT
Graduate Certificate: Computational Harmonic Analysis (Z023)
Abstract
Admissions Information
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
Degree Requirements
Financial Assistance
Courses:
Graduate Certificate of Professional Studies - Real Estate Development
(Z029)
Abstract
The Graduate Certificate in Real Estate Development is a 4 to 7 course sequence, depending on the work experience and
academic preparation of the applicant. The Certificate is generally the first 4 courses of the Master of Real Estate
Development degree, and those courses may be counted toward the MRED degree upon completion of the certificate if the
student applies and is accepted into the MRED degree program. Successful completion of the 4 courses is a good indicator
that a student will be admitted, if they apply, to the MRED program. Up to three additional leveling courses may be required
before moving on to the 4 core certificate courses, depending on the background and experience of the applicant.
198
Like the MRED degree program, there is more information about all the graduate programs, as well as dual degrees
available with historic preservation and architecture at the web site www.arch.umd.edu/real_estate_development.
The Certificate is designed for obtaining the introductory basics of real estate development, for those who may not yet have
determined to make their career in the field.
Generally students take 1 (or at most 2) courses per term, and can finish within a year if no additional leveling courses are
required.
Like the MRED degree program, there is more information about all the graduate programs, as well as dual degrees
available with historic preservation and architecture at the web site www.arch.umd.edu/real_estate_development.
Admissions Information
Acceptance to the Certificate program is competitive. Applicants are required to have a minimum undergraduate grade point
average (GPA) of 3.0 on a 4.0 scale from an accredited University. Applicants who demonstrate a strong interest and
aptitude with a GPA below 3.0 may be considered on a case by case basis if they show a strong aptitude and/or experience
in the field. Such applicants are admitted provisionally based on meeting grade expectations in the program and often may
require additional courses to be taken.
A GRE, LSAT or GMAT score is required, unless the applicant has work experience post undergraduate school of 5 years or
more.
No transfer credits from graduate work in other programs at the University of Maryland, or other academic institutions, are
accepted towards the Certificate.
Incoming students are required to take a non-credit Saturday Executive Skill writing and presentation course during their first
semester. This is generally 5 half-days and cannot be waived. There may be a small fee for the course, and is required to
proceed with the Certificate on a pass/fail basis, but is a non-credit coaching course.
Application Requirements
1. Complete application form on line (select code GCPS-RED)
2. Have all academic institutions send paper official transcripts to the admissions office.
3. Provide standardized GRE, GMAT or LSAT scores unless you earned your undergraduate degree more than 5 years prior
to the date of application in which case scores may be waived depending on other qualifications.
FOR THE REMAINING REQUIREMENTS SUBMIT BY EMAIL to mmcf@umd.edu
4. Have two references send in a letter of recommendation from either academic or professional perspective
5. Submit a resume of your work experience and educational background
6. Submit a statement of your reasons for seeking real estate education and how you plan to use your knowledge; include
an assessment of your skill with Excel spread sheets and financial calculator(s) (use scale of: none, some, moderately skills,
highly skilled).
7. You may request a telephone or on-campus interview, but it is not required.
Degree Requirements
Graduate Certificate of Professional Studies -- Real Estate Development (GCPS)
A 12 - 21 credit introductory program to real estate development. Recommended for those considering whether to move into
the real estate development field full time. Courses may be applied to the Master of Real Estate Development Program, if a
student determines to go on.
The 4 core courses of the Certificate are Development Law, Process and Ethics; Fundamentals of Development Finance,
and two of the following: Principles of Urban Design, Essentials of Property Management, Planning Policy, Principles and
Politics, and Construction Management. For students without academic preparation in finance, accounting and economics
additional leveling courses are required in those areas before proceeding to the 4 core courses.
Students may begin the Certificate program in either the Fall or Spring terms. Applications are reviewed on a rolling basis,
but admission decisions are primarily made in March to May (Fall admissions) and September to November (Spring
admissions.
Applications for Fall should be in by July 1 ; Applications for Spring term should be in by November 1 .
Facilities and Special Resources
The Facilities and Special Resources available to MRED (Master of Real Estate Development students) are generally
available to Graduate Certificate students. Although, certificate students may not participate in supported competitions, and
financial aid may be more limited. To read about the extent of the facilities and special resources available please check the
catalogue of the RDEV program.
Financial Assistance
Financial Assistance is generally not available for Certificate students taking less than 3 courses, but you should check with
the University's financial aid office about the availability and applications for loans. Contact the Program Director after
application as to any available scholarship assistance, which depending on the term may or may not be available for
Certificate students.
Contact Information
You will find more information about the Graduate Programs in Real Estate Development at the University of Maryland at
www.arch.umd.edu/real_estate_development
Margaret McFarland, JD, Director, Graduate Programs in Real Estate Development
Colvin Institute of Real Estate Development, ARC Building 145, Room 1243
MD 20742
mmcf@umd.edu
199
www.arch.umd.edu/real_estate_development
Courses:
Graduate Certificate: Neuroscience and Cognitive Science (Z037)
Abstract
The Neuroscience and Cognitive Science (NACS) Program offers a Post-Baccalaureate Certificate for students pursuing
graduate degrees in related departmental programs. This certificate program allows students to obtain significant
interdisciplinary training that complements their graduate degree in a NACS-related discipline. The NACS Certificate serves
to acknowledge this training.
Admissions Information
Only students enrolled in a Ph.D. degree program at the University of Maryland, College Park will be eligible for the NACS
Certificate. Students enrolled in the NACS Ph.D. program are not eligible. Interested students are encouraged to contact the
NACS office for advisement on coordinating the NACS Certificate requirements with their Ph.D. requirements. Admission will
be at the discretion of the NACS Graduate Director, with the advice and consent of the NACS Executive Committee.
Students must submit a letter to the NACS Graduate Director requesting admission to the Certificate Program and outlining
their plan of study for the NACS Certificate. Students must also identify a NACS faculty member to serve as their Certificate
advisor. In many cases this may be the student's existing departmental Ph.D. advisor. Study for the Certificate must be
completed by the end of the fifth year after admission to the program.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
1) Completed application to the NACS Certificate program. 2) PDF copy of unofficial UM transcript. 3) Letter from primary
advisor endorsing the application to the NACS Certificate program. All files should be submitted electronically, with a strong
preference for PDF files. Please use transparent file names that start with your name, i.e., smith_application.pdf,
smith_transcript.pdf, smith_endorsement.pdf. Send files together in ONE email message to Pam Komarek, NACS Assistant
Director, at pkomarek@umd.edu.
Degree Requirements
Certificate ()
Students must earn a minimum of 16 credits through completing the following courses with a grade of B (3.0) or better in
each class. 1) Students must complete a core of 10 credits, in addition to their Ph.D. course requirements, comprising at
least two of the courses within the NACS core curriculum (NACS641 , NACS642, NACS643, NACS644, NACS728Y, all 4
credit courses) and two semesters of NACS 608, Neuroscience and Cognitive Science Seminar, one credit per semester. 2)
Students must complete at least 6 additional credits from graduate courses approved by the NACS program. The student's
NACS advisor and the NACS Graduate Director must approve the courses taken to fulfill these credits. Courses taken at the
400-level require the approval of the NACS Graduate Director.
Financial Assistance
Contact Information
Assistant Director, Pam Komarek
University of Maryland, 2131 Biology-Psychology Building, College Park
MD 20742
Telephone: 301-405-8910
Fax:301-314-9566
pkomarek@umd.edu
http://www.nacs.umd.edu/program/certificate.html
Courses:
Graduate Certificate: Scientific Computation (Z014)
200
Abstract
Admissions Information
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
Degree Requirements
Financial Assistance
Courses:
Graduate Certificate: Terrorism Analysis (Z039)
Abstract
The National Consortium for the Study of Terrorism and Responses to Terrorism (START) is dedicated to training and
mentoring a new generation of scholars and analysts capable of examining questions related to the behavior of terrorists
and terrorist groups and to the issue of how societies can best prepare for dealing with a terrorist threat or responding to a
terrorist attack. START'S Graduate Certificate in Terrorism Analysis provides participants with advanced education on the
causes, dynamics and impacts of international and domestic terrorism. Participants also develop the methodological skills
necessary to pursue advanced research on and analysis of terrorism. The program consists of four required courses. Each
course is offered once per calendar year, in an online, synchronous learning environment. The program can be completed in
12 months.
The Program is appropriate for Individuals interested in (and/or currently) working in fields related to intelligence analysis,
homeland security analysis, or analysis of other relevant topic areas; and Individuals interested in (and/or currently)
conducting scholarly research on terrorism and responses to terrorism.
Admissions Information
Students may enter the program at three points throughout the year.
Term 1 - apply by Jan. 15, 2011 (International applicants, November 15)
Term 2 - apply by April 1 5, 201 1 (International applicants, February 1 5)
Term 3 - apply by July 15, 2011 (International applicants, May 15)
All application materials must be received by the deadlines as described above.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
Eligible applicants must have earned a 4-year baccalaureate degree from a regionally-accredited U.S. institution, or an
equivalent degree at a foreign university. A 3.0 GPA is preferred, but experience may substitute. GRE scores are not
required. All applications must be submitted via the online application available at:
www.gradschool.umd.edu/gss/admission.html
Applicants ARE required to complete the Application Supplemental Form. All applicants must provide:
1 . Transcripts for all university-level coursework
201
2. A personal statement
3. A resume
4. Two recommendations
5. One-time application fee of $75 to University of Maryland
Degree Requirements
Graduate Certificate in Terrorism Analysis ()
The Certificate is earned by successful completion of all four of Graduate Certificate in Terrorism Analysis courses. These
courses may be taken in any order although students must have successfully completed one other class before enrolling in
BSOS 633 Research Methods in Terrorism Studies.
Graduate Certificate Courses
Terrorist Motivations and Behaviors (BSOS 630)
(Term 1 : March 1 , 201 - May 21 , 201 0)
Focuses on theories explaining the formation of terrorist groups and the motivations behind terrorist behavior.
Societal Impact of and Responses to Terrorism (BSOS 631)
(Term 2: June 1 , 201 - Aug. 21 , 201 0)
Examines ways in which different actors respond to both terrorist incidents and to the threat of terrorism.
Development of Counterterrorism Policy and Programs (BSOS 632)
(Term 3: Sept. 1 , 201 - Nov. 21 , 201 0)
Explores counterterrorism policies and policy making processes and actors since 2001.
Research Methods in Terrorism and Counterterrorism (BSOS 633)
(Term 4: Dec. 1 , 201 - Feb. 21 , 201 1 )
Provides students with a basic understanding of the methods of quantitative research available to social scientists studying
terrorism and counterterrorism.
Facilities and Special Resources
The START center at UMD has pulled from its extensive experience in the field of terrorism research and analysis in order to
formulated the Graduate Certificate curriculum with the intention of providing a well-rounded and sophisticated approach to
the subject matter. Students are drawn from both the academic and professional worlds bringing a range of perspectives to
the virtual classroom helping to cultivate a stimulating learning environment.
Financial Assistance
START does not currently provide financial assistance to Graduate Certificate students.
Tuition
Initial application fee: $75
Tuition and fees per course: $2,100
Please note: Students are responsible for purchasing their own books, software, and other supplies as required by each
instructor. Students may be required to pay additional UMD student fees.
Contact Information
Education Coordinator Sarah Fishering
National Consortium for the Study of Terrorism and Responses to Terrorism
University of Maryland
College Park
MD, 20742
MD 20740
Telephone: 301-405-8504
Fax:301-314-1980
education@start.umd.edu
http://www.start.umd.edu/start/education/graduate_certificate/
Courses: BSOS
Graduate Certificate: Engineering (Z013)
Abstract
The Graduate Certificate in Engineering (GCEN) Program is a highly-focused practice oriented, part-time graduate program
designed to assist engineers and technical professionals in the development of their careers and to provide the technical
202
expertise needed in the rapidly changing business, government, and industrial environments. The program is intended for
individuals who may already have an advanced degree (e.g. a master's or doctoral degree) and do not find a full master's
degree program an appropriate option, and it offers integrated sets of core/elective courses from all of the engineering
departments. Late afternoon, evening, and online classes are taught by full-time faculty and experienced adjunct faculty at
the campus in College Park and at designated learning centers throughout Maryland.
Options are available in the following engineering disciplines:
Aerospace Engineering
Bioengineering
Chemical and Biomolecular Engineering
Civil and Environmental Engineering
Electrical and Computer Engineering
Energetic Concepts*
Environmental Engineering
Fire Protection Engineering*
Materials Science and Engineering
Mechanical Engineering
Nuclear Engineering*
Project Management*
Reliability Engineering*
Software Engineering
Systems Engineering
Technology Ventures and Entrepreneurship
* available 100% online
Admissions Information
The Graduate Certificate in Engineering (GCEN) Program is open to qualified applicants holding a regionally
accredited baccalaureate degree in engineering or a related field. In addition to submitting a Graduate School
admission application with fee, a copy of the applicant's college transcripts is required for evaluation. Applicants
with an undergraduate GPA of less than 3.0 may be admitted on a provisional basis if they have demonstrated a
satisfactory experience in another graduate program and/or their work experience has been salutary. In that case,
two recommendation letters are required as well. Applicants with foreign credentials must submit academic records
in the original language with literal English translations. Please allow at least three months for evaluation of these
credentials. We trust that you will find this 12 credit-hour program to be an affordable, convenient way to "retool"
and keep current with the latest technological developments in your field, or perhaps to develop a new area of
expertise so as to further your career.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
1 . Bachelor's degree in engineering or a related field 2. GRE not required 3. College transcripts 4. If GPA is below
3.0, two recommendation letters are required 5. Graduate school admission application fee 6. In online application,
select Graduate Certificate in Engineering as the major.
Degree Requirements
Facilities and Special Resources
Courses in the Graduate Certificate in Engineering program are currently offered on the College Park campus, are
available at off-campus centers, via Distance Education Technology and Services (DETS), which is a live
interactive distance education system, and 100% online. Courses are available via DETS at the University of
Maryland System Shady Grove Center in Montgomery County, the Higher Education and Applied Technology
(HEAT) Center in Harford County, the Southern Maryland Higher Education Center in St. Mary's County, Frostburg
State University in Allegany County, and University System of Maryland at Hagerstown in Washington County.
Financial Assistance
There are no assistantships or fellowships available in this program.
203
Contact Information
For more specific information, contact:
Dr. George Syrmos, Executive Director
2123 J.M. Patterson Building, University of Maryland, College Park
MD 20740
Telephone: 301-405-0362
Fax:301-405-3305
syrmos@umd.edu
www.oaee.umd.edu
Mr. Paul Easterling, Director
2123 J.M. Patterson Building, University of Maryland, College Park
MD 20740
Telephone: 301-405-0362
Fax:301-405-3305
kjames3@umd.edu
www.oaee.umd.edu
Courses:
Graduate Certificate: Historical Preservation (Z005)
Abstract
Admissions Information
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
Degree Requirements
Financial Assistance
Courses:
Graduate Certificate: Intermediate Survey Methodology (Z011)
Abstract
Admissions Information
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
204
Degree Requirements
Financial Assistance
Courses:
Graduate Certificate: Jewish Studies (Z018)
Abstract
Admissions Information
Application Deadlines
I
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
Degree Requirements
Financial Assistance
Courses:
Graduate Certificate: Large Scale Assessment (Z015)
Abstract
Admissions Information
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
Degree Requirements
Financial Assistance
Courses:
Graduate Certificate: Literacy Coaching (Z038)
Abstract
The Department of Curriculum and Instruction's (EDCI) literacy coach post-baccalaureate graduate certificate program is
designed to prepare experienced, highly qualified middle and high school teachers to serve as literacy coaches in low
performing middle and high schools. Literacy coaches are skilled content area collaborators who function effectively in
middle school and/or high school settings for secondary teachers in the core content areas of English language arts,
mathematics, science, and social studies. They are skilled evaluators of literacy needs within various subject areas and are
able to collaborate with secondary school leadership teams and teachers to interpret and use literacy assessment data to
inform instruction. Finally, literacy coaches are accomplished middle and high school teachers who are skilled in developing
and implementing instructional strategies to improve academic literacy in the four targeted content areas. The program
courses focus on a) reading, cognition, and instruction across content areas, b) diagnostic reading assessment and
instruction, c) teaching ESOL reading and writing in secondary content areas, d) assessing, diagnosing, and teaching writing
205
across content areas, e) TESOL, special education, and assistive technology, and f) coaching and mentoring teachers. In
addition, literacy coach candidates participate in school district professional development workshops mapped onto the
literacy coach coursework. The EDCI literacy coach program addresses the Standards for Middle and High School Literacy
Coaches (International Reading Association, 2006). Upon successful completion of the literacy coach program, candidates
receive a graduate literacy coach certificate from the University of Maryland.
EDCI Literacy Coaching Program Courses
EDCI 763: Reading, Cognition, and Instruction: Reading Across Content Areas (3 cr.)
EDCI 662: Diagnostic Reading Assessment and Instruction (3 cr.)
EDCI 646: Coaching and Mentoring Teachers: Literacy Across Content Areas (3 cr.)
EDCI 638: Teaching ESOL Reading and Writing in Secondary Content Areas (3 cr.)
EDCI 673: Assessing, Diagnosing, and Teaching Writing Across Content Areas (3 cr.)
EDCI 632: Special Education, TESOL, Assistive Technology: Reading and Writing (3 cr.)
Admissions Information
Application Requirements
• Applicants should be highly qualified middle or high school teachers.
• Typically, the application deadline is March 15.
• Contact Elizabeth Johnson and/or Wayne Slater in the Department of Curriculum and Instruction (EDCI) for additional information. Refer to
their contact information included below for email addresses and phone numbers. Email or phone contacts preferred. Please do not fax
inquiries.
Degree Requirements
Graduate Certificate ()
Facilities and Special Resources
Please refer to the "EDCI Literacy Coaching OnLine Resources" available at
http://www.education.umd.edu/EDCI/info/litcoach/.
Financial Assistance
Contact Information
Elizabeth E. Johnson, Program Management Specialist II
University of Maryland Department of Curriculum and Instruction (EDCI) 231 1 Benjamin Building
College Park
MD 20742-1175
Telephone: (301) 405-3153
Fax:(301)314-9055
ejohnson@umd.edu
http://www.education.umd.edu/EDCI/info/litcoach/index.htm
Dr. Wayne Slater
University of Maryland Department of Curriculum and Instruction (EDCI) 231 1 Benjamin Building
College Park
MD 20742-1175
Telephone: (301) 405-3128
Fax:(301)314-9055
wslater@umd.edu
Courses: EDCI
Graduate Certificate: Mathematics of Advanced Industrial Technology
(Z022)
Abstract
Admissions Information
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
206
Application Requirements
Degree Requirements
Financial Assistance
Courses:
Graduate Certificate: Survey Statistics (Z010)
Abstract
Admissions Information
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
Degree Requirements
Financial Assistance
Courses:
Graduate Certificate: Urban Design (Z012)
Abstract
Admissions Information
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
Degree Requirements
Financial Assistance
Courses:
Hearing and Speech Sciences (HESP)
Abstract
The Department of Hearing and Speech Sciences provides the opportunity for advanced graduate study in the
communication sciences and disorders. At the M.A. level, a degree with a concentration in Speech-Language Pathology is
offered (Applicants should see SPLA and use this code when applying for admission to study). A clinical doctorate in
Audiology is also offered (Applicants should see CAUD and use this code when applying for admission to study). At the
doctoral level, the Ph.D. is offered in Hearing and Speech Sciences, with concentrations in Hearing, Speech or Language.
Students applying to the Ph.D. program can opt to receive an MA in Speech-Language Pathology en route to the final
degree.
207
Admissions Information
Admission to the M.A. and doctoral programs is on a very competitive basis. Each year, the Department receives
approximately 250 applications for 25 anticipated spaces in the M.A. program in Speech-Language Pathology. Successful
M.A. applicants typically have earned at least a 3.5 undergraduate GPA, and have strong GRE scores and letters of
recommendation. Students admitted to the Au.D. or Clinical Ph.D. programs in Audiology must have a minimum grade point
average of 3.2 from a master's degree program or 3.4 from a baccalaureate program in hearing and speech sciences or a
related discipline. Candidates admitted to the Ph.D. program satisfy even more competitive criteria. In addition to the
Graduate School requirements, the Department requires applicants to furnish scores on the Graduate Record Examination.
Admission to the M.A. and CAUD programs is primarily confined to fall matriculation, although students may enter the
program in the summer session to complete undergraduate pre-requisites. Prospective applicants should note that decisions
on summer and fall admissions are made in early March. Early application is encouraged.
Applicants with an undergraduate degree in the hearing and speech sciences or a related field are considered for admission
to the M.A., Au.D. and Clinical Ph.D. programs, which usually require two, four and five-six years of graduate study,
respectively. Individuals without a background in the hearing and speech sciences who are pursuing a clinical degree (Au.D.
or M.A.) typically require an additional year to complete degree and clinical certification requirements. Only full-time students
are admitted to these post-BA programs. A "fast track" of the Doctor of Audiology (Au.D.) program is available to practicing
audiologists. Applicants to this fast track must have a graduate degree in Audiology with a minimum grade point average of
3.2 in graduate work, and either the ASHA Certificate of Clinical Competence in Audiology (CCC-A) or a valid state license
to practice audiology. Admissions requirements further include a minimum of two years of full-time (32 hours/week) post-
masters professional audiological experience during the two years immediately preceding the application to the program and
three letters of recommendation supporting these experiences. Students may enroll in the post-M.A. Au.D. program on a
part-time basis.
Admission to the Ph.D. degree program may be offered to applicants with either a Bachelor's or Master's degree, although a
clinical graduate degree is often required in addition to the Ph.D. degree for employment in some university settings.
Students who wish to receive both degrees can apply to the Ph.D. program and receive a clinical MA while working towards
the doctoral degree. Requirements for completion of a program of doctoral study are dependent on a student's prior
background in the communication sciences and disorders.
Students who wish to focus primarily on research in communication sciences may apply either to the department directly, or
may apply to the Program in Neuroscience and Cognitive Science (NACS) and select HESP as the home department.
Students who apply to HESP directly may work towards receiving a certificate in NACS in addition to the HESP Ph.D.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Application Requirements
1. GRE General
2. 3 Letters of Recommendation
3. official transcripts of all undergraduate and graduate study
4. statement of purpose
Degree Requirements
Doctor of Philosophy (Ph.D.)
The Department also offers the Doctor of Philosophy degree with a major emphasis in speech, language or hearing.
Students with a B.A. or M.A. are considered for admission to the doctoral program. Matriculated doctoral students will
choose within their major a special interest area, which may focus on the normal aspects of their major or disorders related
to the major. A student must also select a minor area of study either from within or outside departmental offerings. There are
no foreign language requirements, but advanced courses in statistics and experimental research design are required for the
degree. Course programs are planned by the student and a committee of at least four faculty members. All doctoral students
are expected to participate in varied research activities within the Department for academic credit. Students must take
written and oral comprehensive examinations for admission to candidacy after completing formal academic course work.
Doctoral students must register for at least 12 semester hours of dissertation research credit before completing the degree.
A full description of the Doctoral program, as well as listings of faculty research expertise, can be found at the Departmental
web site, listed below.
Doctor of Audiology (Au.D.)
The Department of Hearing and Speech Sciences offers two doctoral degree options for individuals seeking a clinical
doctorate in Audiology. See CAUD for more details. The Au.D. curriculum meets requirements specified in the Standards for
the Certificate of Clinical Competence in Audiology (CCC-A) of the American-Speech-Language-Hearing Association. The
CCC-A is the minimum qualification for practice in Audiology required by most states and jurisdictions. The Au.D. program
for post-BA students requires 57 hours of graduate coursework, 6 credit hours for a doctoral research project, 14 hours of
clinical practicum registration and 18 credit hours of full-time clinical internship registration, for a total of 95 credit hours.
208
Au.D. students must pass comprehensive examinations and complete a research project. Full-time students are expected to
complete the program in 4 years. The Au.D. "fast-track" program for returning students who already hold an M.A. degree in
Audiology and Clinical Certification requires 30 credit hours of graduate coursework and 6 credit hours for a doctoral
research project. There is no minimum requirement of supervised clinical practicum experience, although clinical practicum
will be available to students as needed. The Clinical Ph.D. track in Audiology is designed for students wishing to be trained
as scientist-practitioners. The Clinical Ph.D. program requires 60 credits of graduate coursework, 6 credit hours of pre-
candidacy research, 12 credit hours of dissertation research, 12 credit hours of clinical practicum registration, and 18 credit
hours of full-time clinical internship registration, for a total of 1 08 credit hours. The Clinical Ph.D. curriculum is designed to
meet requirements specified in the Standards for the Certificate of Clinical Competence in Audiology (CCC-A) of the
American Speech-Language-Hearing Association, and by the Graduate School. Ph.D. students must develop an individual
study plan with the approval of a faculty Program Planning Committee, pass comprehensive examinations, and complete a
dissertation and oral defense. Full-time students are expected to complete the program in approximately 5-6 years.
Master of Arts (M.A.)
The Department of Hearing and Speech Sciences offers the Master of Arts degree with major emphasis in Speech-
Language Pathology with either the thesis or the non-thesis option. The Master's degree is required by national credentialing
standards for individuals intending to practice as speech pathologists in schools, hospitals, rehabilitation facilities, hearing
and speech centers or in other clinical settings. Academic course work, which includes a minimum of 36 credits, is
supplemented by additional credit registrations in supervised clinical practice in the University Speech and Hearing Clinic
and in selected outside clinical facilities so that the graduate will meet the academic and practicum requirements for the
Certificate of Clinical Competence (C.C.C.) issued by the American Speech-Language-Hearing Association, and be eligible
for licensure in the State of Maryland and other jurisdictions. The Master's degree program is accredited by the Council on
Academic Accreditation, the national accrediting agency which oversees graduate programs in Speech-Language Pathology
and Audiology. A full description of the Master's degree program is available at our web site, listed below.
Facilities and Special Resources
The Department's facilities include (1) numerous modern research laboratories equipped to support research in the areas of:
acoustic phonetics, psychoacoustics, infant and adult speech perception, neuropsychology, language and language
development, voice, fluency and electrophysiology. There are four sound-attenuating chambers, one semi-anechoic
chamber, and one electrically-shielded chamber, devoted to research with humans, which are all integrated with computers
and peripheral equipment for acoustic signal development, signal analysis, presentation and on-line data collection; (2) a
Departmental library; (3) the Hearing and Speech Clinic at UMCP: this clinic serves as the initial practicum site for all
students pursuing clinical training. The Clinic includes multiple audiological test suites equipped for diagnostic testing, a
complete hearing aid dispensary, a group rehabilitation room, and state-of-the-art equipment for behavioral and
electrophysiological diagnostic testing, as well as hearing aid selection and fitting. Ten speech and language diagnostic and
therapy rooms are integrated with observation areas; and (4) an on-site language pre-school (LEAP, the Language-Learning
Early Advantage Program), also equipped for observation. Students pursuing clinical training in Audiology will also have
access to the Audiology Service, Division of Audiology-Head and Neck Surgery, of the University of Maryland and University
Hospital in Baltimore (UMB), for part-time clinical rotations or full-time clinical externships. This Service provides a full range
of auditory and vestibular diagnostic and rehabilitative services in a large metropolitan hospital setting. Externally-funded
research projects are an integral part of the activities at UMB. All of the clinical and research facilities are potentially
available for the conduct of student-directed research projects, or for student participation in faculty-initiated research
projects. Additional research and clinical facilities are available in the Washington and Baltimore metropolitan areas. The
Library of Congress, the National Library of Medicine and the libraries of various medical schools in the Washington -
Baltimore area supplement the University's extensive libraries at College Park.
The Department of Hearing and Speech Sciences participates in the Neuroscience and Cognitive Sciences graduate
program (see NACS), the Comparative and Evolutionary Biology of Hearing Training Grant, the Biological and
Computational Foundations of Language IGERT Training Grant, and has ties to the Center for Advanced Study of Language
(CASL); these connections afford students the opportunity to work with faculty in other departments at the University of
Maryland, College Park, or at UMB.
Financial Assistance
A limited number of graduate assistantships and fellowships are available through the Department. Assistantships that carry
teaching, research or clinical responsibilities are awarded on a competitive basis. The Department recommends outstanding
students for Graduate School Fellowships; many of these fellowships have early deadlines for recommendations, so
students are encouraged to submit their applications to the department early to ensure full consideration. Students may also
seek assistantships or doctoral fellowships sponsored by Federal agencies (e.g., NIH or NSF) or private foundations (e.g.,
American Speech-Language-Hearing Foundation). Students are encouraged to apply for assistantships by January 15.
Contact Information
Additional information about the M.A. and Ph.D. programs may be obtained by contacting Dr. Rochelle Newman, Ph.D.,
Graduate Director, or by e-mailing the program at admissions@hesp.umd.edu; extensive information about the
Department's programs, its faculty, research and facilities may be found at our web site: http://www.bsos.umd.edu/hesp
Director of Graduate Studies: Rochelle Newman, Ph.D.
Department of Hearing and Speech Sciences
0100 LeFrak Hall, College Park
MD 20742
Telephone: 301-405-4214
Fax:301-314-2023
209
admissions@hesp.umd.edu
http://www.bsos.umd.edu/hesp
Courses: HESP
Related Programs and Campus Units
Communication
Neuroscience and Cognitive Science
Linguistics
Higher Education and International Education (EDHI)
Abstract
The mission of Higher Education and International Education (EDHI) program is to prepare leaders, policy analysts,
scholars, and researchers to improve education within a wide range of settings, formal and non-formal, public and private,
and across local, state, regional, national, and international contexts. The program is comprised of faculty who have defined
and informed areas of research and practice in higher education, comparative and international education. Faculty are
scholars, and scholar practitioners, who have held leadership positions in key organizations and are committed to equity,
diversity and social justice. The program is a collaborative community that develops theory, conducts research and
translates these to practice, to engage students, educators, and professionals in the advancement of education.
The program of Higher Education and International Education (EDHI) consists of the following:
Graduate Degrees Offered:
Higher Education: M.A., Ph.D. International Education Policy: M.A., Ph.D.
Only one area of specialization must be included on the application. Before applying students should familiarize themselves
with each program area and choose the one that most closely fits their own particular needs and aspirations. The
Department web site (www.education.umd.edu/EDHI) offers descriptions of all the programs, faculty profiles and contact
information, and is an essential resource for all applicants.
Admissions Information
To be recommended for full admission to a doctoral or master's program, a minimum undergraduate grade point average of
3.0 is required. A minimum graduate grade point average of 3.5 is required for doctoral programs. Of the three scores on the
Graduate Record Examination (verbal, quantitative, analytic), at least one should be at the 70th percentile or higher for PhD
applicants (40th percentile or higher for master's applicants) and none should be under the 40th percentile for PhD
applicants. If the Miller Analogies Test is used, the score should be at least at the 70th percentile for PhD applicants (40th
percentile for master's applicants). Students who do not meet one of these requirements, but show other evidence of
outstanding potential, may be considered for provisional admission. Admission of qualified applicants is based on their
competitive ranking to limit enrollments to available faculty resources. For more information on admissions please refer to
our website at www.education.umd.edu/edhi and click on prospective students.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: December 15
Preferred: December 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 15
Preferred: December 1
Application Requirements
1 . Official transcripts from each college or university previously attended 2. 3 Letters of Recommendation 3. Statement of
Goals, Research Interests and Experiences 4. Scholarly writing sample for ALL doctoral applicants and both master's and
doctoral applicants to the Higher Education and International Education Policy areas 5. Resume/vita for all applicants to the
Higher Education and International Education Policy specializations 6. GRE or Miller Analogy Test 7. It is strongly
recommended that prospective students talk with program coordinators and faculty, and visit the Department and classes, to
help determine if the Department's programs are appropriate to their academic interests and professional goals. For detailed
information about our programs please visit our website at www.education.umd.edu/edhi and click on academics.
Degree Requirements
Doctor of Philosophy (Ph.D)
Ph.D. students are required to take a minimum of 90 credits beyond the bachelor's degree, some of which may be satisfied
by prior study. In addition to major and elective courses, this includes 12 to 15 credits in research methods, an internship,
and 12 credits of dissertation research. After students have completed most of their course work, a 12-hour comprehensive
examination is required. The comprehensive exam may take a variety of forms, such as take-home conceptual essays,
literature reviews, research papers, or "in-house" closed book responses.
210
Master of Arts (M.A.)
The minimum number of credit hours beyond the bachelor's degree required of master's degree students is 36 credit hours
in Higher Education. The minimum number of credit hours beyond the bachelor's degree for International Education is 30. In
addition to major and elective courses, this includes 6 to 9 credits in research methods. Field experience is required for all
specializations except International Education Policy. Master's students preparing a thesis must orally defend the thesis and
take a 3 hour written comprehensive examination. Students under the non-thesis option must submit one to two seminar
papers and write a 6 hour comprehensive examination.
Facilities and Special Resources
Faculty and students in the Department work closely with area schools, colleges, universities, associations and other
education-related organizations. Extensive resources in the Washington, D.C., area, including embassies and other
international organizations, provide exceptional opportunities for internships and field experiences, research, and materials
to enhance formal course experiences.
Financial Assistance
The Department has a very limited number of merit-based fellowships and graduate assistantships available to students.
Fellowships are awarded to doctoral students in March only for the following fall semester. Assistantships are also awarded
in the spring for the following fall semester, but occasionally an assistantship may become available at another time of year.
Both fellowships and assistantships are awarded on a competitive basis. It is unrealistic to expect that all applicants who
apply for financial aid will receive such assistance even if they are recommended for admission to the Graduate School. It is
to the student's advantage to apply well before the published application deadlines and to submit a complete application
package if they intend to be considered for a fellowship, assistantship, or other form of financial aid. It is a requirement that a
student be admitted as a condition of eligibility. International students' applications are not considered complete and are not
reviewed by the Department until they have received International Education Services (IES) clearance which can take
additional time. If you need information about IES clearance visit the IES website at www.umd.edu/ies. For more information
on financial assistance, see the department web site: http://www.education.umd.edu/EDHI/.
Contact Information
For Additional information and application procedures, please visit our web site: www.education.umd.edu/EDHI/
Carol Ordiales Scott, Graduate Coordinator
Higher Education and International Education University of Maryland 3214 Benjamin Building
College Park
MD 20742
Telephone: 301-405-8384
Fax:301-405-9995
cscott18@umd.edu
http://www.education.umd.edu/edhi
Courses: EDPL
Historic Preservation (HISP)
Abstract
Based in the School of Architecture, Planning, and Preservation, the Historic Preservation Program is a collaboration of
faculty from across the University-from the departments of American Studies, Anthropology, Architecture, History,
Landscape Architecture, and Urban Studies and Planning, as well as the National Trust Library. Our shared goal is
educating professionals for work in a wide range of preservation organizations. Research on historic preservation issues is
also a focus of the Program, pursued through faculty and student projects, in partnership with preservation organizations
and University partners.
The Historic Preservation Program offers a Master of Historic Preservation (MHP)degree, a graduate Certificate, and several
dual degrees (with Architecture, Planning, and Real Estate Development. The MHP is designed as a full-time, two-year
curriculum leading to a professional degree. The 45-credit MHP curriculum includes core courses, an internship, an
interdisciplinary studio course, a final project, and a large selection of electives to stimulate each student's particular
interests. Students will be admitted to the program with a variety of backgrounds but with a demonstrated prior interest in the
preservation field. (In some exceptional cases, students may be admitted to the program on a part-time basis.)
Admissions Information
The application process consists of two steps. First, fill out the on-line application for the University of Maryland Graduate
School. The administrative code for the Master of Historic Preservation degree is "HISP." Second, send the other elements
of the application package (see below) to Enrollment Services Office-Graduate Admissions, Room 0130 Mitchell Building,
University of Maryland, College Park, MD20742.
All applicants must have a bachelor's degree from an accredited institution, and a minimum grade-point average of 3.0 on a
4.0 scale. There is no restriction on the applicants' previous field of study, and indeed we encourage diversity in all senses.
Applications and information on applying to the Master of Historic Preservation degree are available by contacting the
Director, Graduate Program in Historic Preservation, School of Architecture, Planning, and Preservation, University of
Maryland, College Park, MD 20742, or email to hisp-grad@deans.umd.edu.
211
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: December 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 15
Application Requirements
1 . Complete application form:(On-line version)
2. Academic credentials (unofficial to academic unit):
3. Standardized test scores: Graduate Record Examination (GRE)
4. Letters of Recommendation: Three confidential letters from individuals familiar with the applicant's work (at least one of them a previous
professor)
5. Statement of Goals, Research Interests, and Experiences: 1 ,000-2,000 word statement of graduate goals, research interests, and
experiences.
6. Writing sample (this can be previous academic work or professional work; it does not necessarily have to be related to historic preservation;
it must be individual work). In addition, applicants may submit samples of graphic work. Please submit copies, as this material is not
returnable
Degree Requirements
Master of Historic Preservation (M.H.P.)
The Master of Historic Preservation (MHP) requires completion of 45 credits. Required courses cover history and theory of
preservation, preservation law, historical research methods, documentation, conservation, preservation economics,
preservation planning & policy, group studio/workshop, internship, and independent final project. Elective courses may be
taken from all contributing HISP units, and other departments with prior approval from the HISP Director. A description of the
full MHP curriculum is available on the program web site at http://www.arch.umd.edu.
Dual Degree Program in Historic Preservation and Real Estate Development (HPDV)
This is a dual degree program in Historic Preservation and Real Estate Development with course requirements overlapping
such that a student can qualify for both degrees with some careful planning with an extra semester of course work. I is
recommended that applicants consult with the program directors of both HISP and RDEV before proceeding with the
application. Differential tuition rates are likely to be instituted at some point after which all courses taken will be subject to the
adjusted rate. The dual degree does allow for students to obtain both degrees with fewer credits than would be required
taking the two degrees independently.
Dual Degree Program in Architecture and Historic Preservation (ARHP)
The dual degree combines course work from the Architecture and Historic Preservation programs to enable a student to
complete both the Master of Architecture and Master of Historic Preservation degrees with fewer credits than it would take to
complete the two separately.
Facilities and Special Resources
The University of Maryland's Historic Preservation Program is privileged to be part of a dynamic, successful preservation
community that has long thrived throughout the state and in the District of Columbia. Opportunities to study and work
abound in the incredibly diverse cities, towns, and landscapes across Maryland. In addition, the Program enjoys close
relationships with many state, local, national, international and federal-government organizations working in historic
preservation, as well as non-profit groups and private firms.
The HISP program is directly related to and substantially enhanced by the National Trust for Historic Preservation Library,
housed on the College Park campus since 1986 [http://www.lib.umd.edu/NTL/ntl.html]. This Library is one of the leading
scholarly resources for preservation in the country. The program is further strengthened by close working relationships with
the Maryland Historical Trust, the National Park Service, the National Trust for Historic Preservation, the Maryland National
Capital Park and Planning Commission, Historic Annapolis, Inc., Preservation Maryland, Prince George's Heritage, the
Anacostia Trails Heritage Area, and others. Practical experience can be gained through a variety of internship opportunities
with these organizations and many others.
Financial Assistance
HISP's principal form of financial aid consists of graduate assistantships related to research and outreach activities. The
assistantships consist of tuition remission as well as a stipend. In addition, the Program awards-in conjunction with local
non-profit Prince George's Heritage-the Prince George's Heritage Preservation Fellowship, an annual competitive award for
a HISP student or students whose Prince George's County related project is judged to be especially outstanding.
Additionally, there are possibilities for paid internships and paid part-time work with a variety of national and local
organizations and governmental agencies.
Contact Information
Contact the program at the following address:
HISP Graduate Admissions
School of Architecture, Planning, and Preservation
212
University of Maryland
College Park, MD 20742
Or at the School of Architecture, Planning, and Preservation web site: http://www.arch.umd.edu
Prof. Donald Linebaugh, Director
School of Architecture, Planning, and Preservation
University of Maryland
College Park
MD 20742
Telephone: (301) 405-6309
Fax:(301)314-9583
hisp-grad@deans.umd.edu
www.arch.umd.edu
Courses: RDEV HISP ARCH URSP
Related Programs and Campus Units
Urban Studies and Planning
Historic Preservation Certificate
Architecture
Urban and Regional Planning and Design
Anthropology
Architecture
Real Estate Development
Landscape Architecture
Architecture and Real Estate Development
Historic Preservation Certificate (HISP)
Abstract
The Historic Preservation Graduate Certificate program augments the degree work of Master of Architecture, Master of Arts
and Doctor of Philosophy students in the seven cooperating academic units: American Studies, Anthropology, Architecture,
Geography, History, Horticulture and Landscape Architecture, and Urban Studies and Planning.
Admissions Information
This 24-credit interdisciplinary program is designed to help prepare students for a range of careers in the planning,
management and conservation of significant cultural, natural and historical resources. Through courses, seminars and
internships, students develop the basic expertise to become researchers, interpreters, curators, restorationists,
archaeologists, planners, conservators and administrators in the multi-faceted field of historic preservation.
Students who seek the Certificate must meet general Graduate School requirements and normally they must have been
admitted into one of the participating degree programs. Application is in the form of a letter to the Committee on Historic
Preservation. In making its evaluation, the Committee will review relevant material in the Graduate School application. If
appropriate, the applicant's record as a graduate student or resume generated through professional experience will be
considered. Interested persons are advised to consult in advance with the chair of the Committee.
Application Requirements
Degree Requirements
Historic Preservation Graduate Certificate (Certificate)
Certificate students, in conjunction with their degree programs, complete the required introductory seminar (HISP 600), a
survey of preservation law, 15 credit hours of core courses, and the final seminar (HISP 700). The total number of semester
credit hours will vary according to the particular requirements of the specific degree program.
Facilities and Special Resources
The Certificate program is directly related to and substantially enhanced by the National Trust for Historic Preservation
Library housed on the College Park campus since 1986. The program is further strengthened by close working relationships
with the National Park Service, the Maryland Historical Trust, the Maryland Hall of Records, the Maryland National Capital
Park and Planning Commission, Historic Annapolis, Inc., Preservation Maryland, the Baltimore Commission for Historical
and Architectural Preservation, the Maryland Heritage Alliance, the Maryland Historical Society, and the Montgomery and
Prince George's County Historic Preservation Commissions. Practical experience can be gained through ongoing summer
projects at the Chalfonte Hotel in Cape May, New Jersey and at Kiplin hall in North Yorkshire, England.
Financial Assistance
HISP's principal form of financial aid is the Prince George's Heritage Preservation Fellowship, an annual competitive award
which provides a matching tuition waiver and stipend for a Certificate student whose Prince George's County related project
is judged by the faculty and the sponsor to be especially outstanding and promising. Additionally, there are possibilities of
paid internships with the National Park Service and the Historic American Building Survey/Historic American Engineering
Record. Certificate students may be teaching assistants in related academic units. Also, students in the Certificate Program
are specially eligible for the annual Prince George's County specific Margaret Cook Award, a cash prize endowed by the
Historical and Cultural Trust of Prince George's County. The St. Clair Wright Historic Preservation Award is a cash award
213
given to a HISP student who demonstrates the principles of preservation activism exemplified by Mrs. Wright, founder and
leader of Historic Annapolis. The Historic Preservation Faculty Prize is given to a student in a historic preservation course
who has submitted a paper or project of outstanding quality on a topic in historic preservation.
Contact Information
Prof. Randall Mason, Director
1298 School of Architecture College Park, MD 20742
MD 20742
Telephone: (301) 405-6309
Fax:(301)314-9583
hisp-grad@deans.umd.edu
http://www.arch.umd.edu
Courses: HISP
Related Programs and Campus Units
Urban Studies and Planning
Historic Preservation
Anthropology
Historic Preservation and Real Estate Development (HPDV)
Dual degree programs, such as Historic Preservation and Real Estate Development, can have complicated requirements
and applications. It is recommended that you consult with the Program Directors of each program before proceeding to
apply. See contact information below. Application deadline for the program is December 15 for part I of the application and
January 15 for the Supplemental Part II of the application. If you miss the deadline, you may apply and be considered for the
real estate development program up until August 1st, but would have to apply for the Historic Preservation part of the dual
degree program in the year following. The School has requested a differential tuition for in-state students in order to defray
the higher cost of offering the dual degree program. The tuition differential, if approved, will be announced to all enrolled
students, and will only be applied going forward for the semester following the announcement.
Abstract
Based in the School of Architecture, Planning, and Preservation, the Historic Preservation Program is a collaboration of
faculty from across the University-from the departments of American Studies, Anthropology, Architecture, History,
Landscape Architecture, and Urban Studies and Planning, as well as the National Trust Library. Our shared goal is
educating professionals for work in a wide range of preservation organizations. Research on historic preservation issues is
also a focus of the Program, pursued through faculty and student projects, in partnership with preservation organizations
and University partners.
The dual degree program in Historic Preservation and Real Estate Development is a 60 credit program that can be
completed, by taking courses full time over 5 semesters (2 1/2 years), including at least one winter and summer term
course. While not preferred students may be admitted to the program on a part-time basis. Consult with the HISP Program
Director.
The final project for the HISP portion of the degree will also have to meet the requirements for a Capstone Project in real
estate development and should be discussed early on with each Program Director to be sure it will meet the requirements of
both.
Admissions Information
The application process consists of three steps.
First, fill out the on-line application for the University of Maryland Graduate School. The administrative code for the dual
degree in Master of Historic Preservation degree and Master of Real Estate Development is "HPDV."
Second respond and attach all elements requested when the Admissions office of the University notifies you to do so by
email.
Third, send (or have sent by third parties, GRE, Transcripts) the other elements of the application package (see below) to
Enrollment Services Office-Graduate Admissions, Room 0130 Mitchell Building, University of Maryland, College Park,
MD20742.
All applicants must have a bachelor's degree from an accredited institution, and a minimum grade-point average of 3.0 on a
4.0 scale. There is no restriction on the applicants' previous field of study, and indeed we encourage diversity in all senses.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: December 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
214
Application Requirements
1. Complete application form:(On-line version) (Part I and Supplemental)
2. Academic credentials (Send official sealed transcript to the admissions office; unofficial copy to academic unit)(UMCP
undergrads no submission required
3. Graduate Record Exam Scores (GRE)
4. Letters of Recommendation: Three confidential letters from individuals familiar with the applicant's work (at least one of
them a previous pro
5. Statement of Goals, Research Interests, and Experiences: 1,000-2,000 word statement of graduate goals, research
interests, and experiences and career aspirations upon completion of the dual degree. Include an assessment of your skill
level and experience with Excel or financial calculators (HP12c or HP 17b). Provide your assessment as follows: no
functional knowledge or experience, some/minimal, moderate/workable; extensive/experienced.
6. Writing sample (this can be previous academic work or professional work; it does not necessarily have to be related to
historic preservation; it must be individual work). In addition, applicants may submit samples of graphic work. Please submit
copies, as this material is not returnable
7. Resume: Business style listing prior academic credentials and work experience (related or unrelated)
Degree Requirements
Historic Preservation and Real Estate Development (HPDV)
The dual degree for a Master of Historic Preservation (MHP) and a Master of Real Estate Development (MRED) requires
completion of 60 credits. Required courses cover history and theory of preservation, preservation law, historical research
methods, documentation, conservation, preservation economics, preservation planning & policy, group studio/workshop, and
independent final project.
Real Estate requirements address real estate economics, finance, planning and entitlements, design and construction
management and asset and property management.
The final project must not only address historic preservation or adaptive reuse issues, but must meet the requirements of an
MRED Capstone project with real estate feasibility and pro forma modeling.
Facilities and Special Resources
The School of Architecture, Planning, and Preservation and the Colvin Institute of Real Estate Development are ideally
located between Washington, DC, and Baltimore and surrounded by a number of historic communities and a varied physical
environment. The resulting opportunity for real estate development and historic preservation study is unsurpassed.
Close by the University are key historically important and interesting places in the development of U.S. communities,
including the 4th settlement in America at Historic St. Mary's City in Southern Maryland, which was the first planned city in
America. Just 10 minutes from campus is the 1930s new town of Greenbelt, Maryland, and within 45 minutes are the 1960's
new towns of Columbia, Maryland, St. Charles, Maryland and Reston, Virginia. One of the best examples of new urbanism is
the Kentlands development less than 30 minutes away. And not to be missed are the major redevelopment and urban living
revivals in the Port City of Baltimore and the historic neighborhoods of Anacostia and Columbia Heights in the District of
Columbia.
The University of Maryland's Historic Preservation Program is privileged to be part of a dynamic, successful preservation
community that has long thrived throughout the state and in the District of Columbia. Opportunities to study and work
abound in the incredibly diverse cities, towns, and landscapes across Maryland. In addition, the Program enjoys close
relationships with many state, local, national, international and federal-government organizations working in historic
preservation, as well as non-profit groups and private firms.
The HISP program is directly related to and substantially enhanced by the National Trust for Historic Preservation Library,
housed on the College Park campus since 1986 [http://www.lib.umd.edu/NTL/ntl.html]. This Library is one of the leading
scholarly resources for preservation in the country. The program is further strengthened by close working relationships with
the Maryland Historical Trust, the National Park Service, the National Trust for Historic Preservation, the Maryland National
Capital Park and Planning Commission, Historic Annapolis, Inc., Preservation Maryland, Prince George's Heritage, the
Anacostia Trails Heritage Area, and others. Practical experience can be gained through a variety of internship opportunities
with these organizations and many others.
The School's resources include a model shop, a digital fabrication lab, and both PC and MAC computer labs with REVIT,
ARGUS, GIS, Maptitude and other design programs available. The School's library contains some 57,000 monographs and
6,000 current periodicals, making it one of the major architectural libraries in the nation. The National Trust Library for
Historic Preservation, housed in McKeldin Library, contains 1 1 ,000 volumes and 450 periodical titles. The Colvin Institute
holds the entire library offerings of the Urban Land Institute and access to all the case studies published by ULI. The slide
collection includes approximately 430,000 slides on architecture, landscape architecture, planning, and technical subjects.
The interdisciplinary National Center for Smart Growth Education and Research is based in the School offering perspectives
and opportunities to engage important issues facing urban and regional planning.
Both the Real Estate Development and Historic Preservation Programs benefit from the strong support of the professional
community, including practitioners who bring expertise into the class room and project courses as instructors and advisors.
The RDEV courses are all taught by working or retired real estate professionals giving unparalleled access for students to
making connections with current practice in the industry.
Job placement for HISP graduates has been outstanding with graduates sought out by national, local and regional firms and
agencies. The over 150 alumni of the real estate program have a very active and passionate group of grads in the area who
meet regularly and share practice tips, connections and future job opportunities.
215
Financial Assistance
HISP's principal form of financial aid consists of graduate assistantships related to research and outreach activities. The
assistantships consist of tuition remission as well as a stipend. In addition, the Program awards--in conjunction with local
non-profit Prince George's Heritage-the Prince George's Heritage Preservation Fellowship, an annual competitive award for
a HISP student or students whose Prince George's County related project is judged to be especially outstanding.
Additionally, there are possibilities for paid internships and paid part-time work with a variety of national and local
organizations and governmental agencies.
The Colvin Institute provides scholarship funds to a number of highly qualified students each term. Scholarship
determinations are made at the time of application and admission. Scholarships are generally awarded on a per course
basis and commitments are made at the time of admission and apply for the duration of the entire program, subject to
academic performance.
The MRED Program offers a limited number of administrative graduate assistantships to full time MRED students. Contact
the Program Director to apply. Periodically there are named scholarships provided by various real estate organizations or
development companies.
In addition, there are work opportunities both on, and off campus, and they are relatively plentiful. Students in the past have
been successful in finding part time internships and full time work with local real estate companies. The MRED student
listserv posts openings periodically as they are brought to the attention of the Program by alumni, friends, faculty and
sponsors.
Applicants should inquire as to the availability of scholarship funding for the term they are starting. Scholarships are typically
for a portion of tuition only, and are paid on a per course basis as students progress through the program. Scholarships are
available to part time, full time, and dual degree students.
Contact Information
Contact the programs at the following address: HISP/RDEV Graduate Admissions School of Architecture, Planning, and
Preservation Building 145, Faculty Suite University of Maryland College Park, MD 20742
Find additional information on program offerings, degree requirements, admissions, and financial aid on the School's Web
site (www.arch.umd.edu).
Schedule a visit and tour online at: http://www.arch.umd.edu/students/advising/. Be sure to contact the Program Director for
real estate development (mmcf@Umd.edu) if you wish to attend a sampling of classes while here.
Sign up to receive an invitation to our Graduate Open Houses in Fall or Spring online at:
http://www.arch.umd.edu/students/admissions/information_request.cfm
For further information about the Preservation Program, please contact Don Linebaugh, grarchadvise@umd.edu, 301 -405-
8000.
For further information about the Real Estate Development Program and the Colvin Institute, please contact Margaret
McFarland, JD, Director of Graduate programs in Real Estate Development and the Colvin Institute of Real Estate
Development, mmcf@Umd.edu.
Additional information on Case competitions, samples of student work, as well as syllabi and adjunct faculty can be found at
the School's web site (www.arch.umd.edu. You will also find the Colvin Institute offering outreach and information at the
ICSC in Las Vegas each May, at the ULI National Conference each October, and at many local events of Bisnow, ICSC,
ULI, CREW, WIRRE and HAND.
Donald Linebaugh, PhD, Associate Professor and Director, Historic Preservation Programs
University of Maryland, School of Architecture, Planning and Preservation, Colvin Institute of Real Estate Development,
ARC Building 145, Faculty Suite, College Park,
MD 20742
Telephone: 301.405-8000
dwline@umd.edu
www.arch.umd.edu
Margaret McFarland, JD, Director, Graduate Programs in Real Estate Development and The Colvin Institute of Real Estate
Development
University of Maryland, ARC Building 145, Suite 1243
College Park
MD 20742
Telephone: 301 .405.8000, or 301 .405-6790 (Do not leave voice messages!) 301 .405.8000
mmcf@umd.edu
www.arch.umd.edu/real_estate_development
Courses:
Related Programs and Campus Units
Urban Studies and Planning
Architecture
National Center for Smart Growth Research and Education
American Studies
Art History and Archaeology
R.H. Smith School of Business
216
History (HIST)
Abstract
The Department of History offers programs leading to the degrees of Master of Arts and Doctor of Philosophy. In conjunction
with the College of Information Studies, the Department of History also offers a dual-degree Master of Arts in History and
Library Science.
Major fields of concentration for the MA and PhD programs are: Ancient Mediterranean, Early Modern Europe, East Asia,
Global Interaction and Exchange, International & Diplomacy, Jewish, Latin America, Medieval Europe, Middle East, Modern
Economic, Modern Europe, Russia & the Former Soviet Union, Technology, Science, & Environment, the United States, and
Women & Gender. MA-only fields are: Africa and Military.
The graduate program, which includes fifty regular faculty members and approximately 132 degree-seeking students, has
been nationally-ranked in the following subfields: African American, Latin America, US Colonial, and US Cultural. Other
areas of established strength are Central/Eastern European/Russian history, the history of Western Europe, and women &
gender. More recently, the following fields have emerged as centers of growing faculty strength and are attracting increasing
numbers of students and faculty: Atlantic history, the African diaspora, Global Interaction and Exchange, and Middle
Eastern/Islamic history.
The students in our three degree programs come from across the nation, from small liberal arts colleges and major research
institutions, as well as from the Balkans, Canada, East Asia, Eurasia, the European Union, and Latin America. History
students have won a number of major external fellowships, including the ACLS/Mellon Early Career Fellowship, the Berlin
Program for Advanced German and European Studies Dissertation Fellowship, the Foundation for the Research and Study
of the East German Dictatorship Fellowship, the Fulbright-Hays Doctoral Research Fellowship, the Fulbright-IIE Student
Grant, the International Research & Exchanges Board Fellowship, the Mary Savage Snouffer Dissertation Fellowship, the
Maryland Historical Society Lord Baltimore Research Fellowship, the Massachusetts Historical Society Research
Fellowship, and the Mellon Fellowship for Dissertation Research in Original Sources, and the Nathan and Jeanette Miller
Center for Historical Studies Dissertation Award.
Recent graduates have started postdoctoral fellowships or tenure-track jobs at institutions that include Case Western
University, Christopher Newport University, Elizabeth City State University, Embry-Riddle Aeronautical University, the
Federal Judicial Center, John Carroll University, King's College London, Loras College, the Maryland Historical Society,
Montclair State University, Morgan State University, Ohio University, Rhode Island College, Sage Colleges, Southern
Methodist University, SUNY Purchase, the United States Naval Academy, the University of South Florida, the University of
Southern Mississippi, and Western Washington University. The members of our extended alumni community, numbering
more than 300 master of arts and doctoral recipients, work as professional historians throughout the State of Maryland, in a
number of United States Government agencies, and at institutions of higher education and historical research across the
United States and the globe.
Admissions Information
As a demonstration of our commitment to excellence in historical scholarship and education, admission to our degree
programs is highly competitive. It is important that each applicant clearly articulate his/her academic preparation and
qualifications for graduate study at Maryland. All prospective applicants are encouraged to make contact with the faculty in
the area(s) of interest. Faculty play an important role in the admissions decision. Prospective applicants are also
encouraged to make contact with current graduate students to learn more about their experiences. The History Graduate
Student Association can facilitate communications with current students.
Applicants are required to submit a sample of written work of historical scholarship, such as a research paper or thesis, as
well as a statement of purpose, a personal statement, transcripts, three letters of recommendation, and GRE scores.
Additional materials may be requested.
Although there are exceptions, the minimum overall grade point average is 3.25 for admission to a master's degree program
and 3.50 for admission to the doctoral program. The admissions committee would typically expect a higher grade point
average in past coursework in history and related disciplines. Successful applicants usually score above the 80th percentile
in the analytical writing and verbal reasoning portions of the Graduate Record Examination (GRE) General Test. The
Department does not require a GRE Subject Test.
There are no general language or special skill requirements for admission, but the command of one or more relevant
languages may bear upon an applicant's chances for admission in certain fields of study.
The admissions process is sensitive to variations in GRE scores among applicants whose primary language is not English.
However, the University requires that all admitted students demonstrate proficiency in written and spoken English.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: December 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 15
217
Application Requirements
1 . Statements of Goals & Research Interests and Experiences
2. Three (3) Letters of Recommendation
3. A Writing Sample that demonstrates historical analysis, such as a research paper or master's thesis
4. Resume or Curriculum Vitae
5. Transcripts
6. GRE General
Degree Requirements
Master of Arts (M.A.)
Organized in the 1920s, the Master of Arts in History program at the University of Maryland provides broad and intensive
instruction in bibliography, research, and writing in various fields of historical study. The MA degree may constitute a step
toward doctoral research or preparation for a variety of other fields, such as archives administration, museum scholarship
and exhibitions, public history, primary or secondary school teaching, law, or international relations.
Admission to the Master of Arts program is offered to highly qualified applicants holding at least a bachelor's degree,
normally in history or a related discipline. Application and admissions procedures are described on the Department's
website.
The MA degree program requires a total of thirty (30) semester hours of course work and research credits and the
submission of two original research papers. In addition, MA students must successfully defend a thesis (the Degree-by-
Thesis option) or pass a written examination (the Degree-by-Examination or "non-thesis" option).
The anticipated period for completion is two (2) years of full-time study. The degree must be completed within five (5) years.
Doctor of Philosophy (Ph.D.)
First awarded in 1937, the Doctorate in History at the University of Maryland is conferred for superior achievement in
historical study and research. The major portion of the degree is the dissertation, an original and noteworthy contribution to
historical knowledge. In anticipation of this research, students must master bibliographic tools, research and writing
methods, and general, minor, and special (or dissertation) fields of study. Competence in these preliminary steps will be
measured by successful completion of course work and by examinations.
Unless they have taken comparable courses elsewhere, students must complete the general seminar(s) in their major field,
History 601 (History and Contemporary Theory), a minimum of nine hours of reading courses, six hours of research
seminars, and nine hours in a minor field.
Depending on the field of study, doctoral students may be required to demonstrate competence in one or more foreign
languages and/or special skills.
Students who enter with a master's degree in history or a related field are expected to sit for a set of written and oral
comprehensive examinations within four semesters (five semesters for those who enter with a bachelor's degree). Upon
successful completion of all examinations, doctoral students are expected to prepare a dissertation prospectus and advance
to doctoral candidacy within one or two semesters. Upon completion of the dissertation research and writing, candidates
defend the dissertation in an oral examination.
The requirements for the doctoral degree are intended to be completed in five to six years.
Facilities and Special Resources
In addition to the field concentrations described above, the Department of History offers several forms of specialized
training, including certificate programs in Museum Scholarship & Material Culture, co-sponsored by the Department of
American Studies, and Historic Preservation, co-sponsored by the School of Architecture.
The Nathan and Jeanette Miller Center for Historical Studies, housed within the Department, promotes both research and
graduate training by sponsoring seminars and colloquia, major scholarly conferences, and visiting professors who teach
graduate courses. Typically, the Center's activities each year concentrate on a historical theme of surpassing interests that
cuts across the usual chronological and cultural boundaries.
The University of Maryland is home to a number of important archives, special collections, and historical editing projects,
including the Freedmen and Southern Society Project and the Samuel Gompers Papers, the Library of American
Broadcasting, the Gordon W. Prange Collection, and the National Trust for Historic Preservation Library. The Combined
Caesarea Expeditions, an amphibious research project that joins excavation of the terrestrial remains of Caesarea Maritima
with underwater investigation of the site's ancient harbor, are coordinated at Maryland.
The University sponsors a number of significant scholarly publications of interest to historians, including the Hispanic
American Historical Review, the flagship English-language journal in Latin American history; Kritika, a journal dedicated to
critical inquiry into the history and culture of Russia and Eurasia; and Feminist Studies, a pioneer in women's history and
gender studies.
The College Park campus is located within the Washington-Baltimore corridor, one of the nation's most dynamic regions for
historical research. Francis Scott Key Hall, home to the Department of History, sits less than ten minutes from Archives II,
the U.S. government's largest repository, and less than thirty minutes from downtown Washington, D.C., a city of
unparalleled cultural resources and unique opportunities for historical research. Annapolis and Baltimore, home to significant
archival holdings related to the history and cultures of the State of Maryland, the greater Chesapeake Bay region, and the
Atlantic world, can be reached in less than forty-five minutes.
Financial Assistance
The Department of History administers several forms of financial assistance for graduate students, including fellowships,
teaching assistantships, graduate assistantships, research assistantships, and research grants. All fellowships,
assistantships, and grants are awarded on the basis of merit, as determined by the Graduate Committee, upon the
recommendation of faculty and the Director of Graduate Studies.
218
A multiyear guarantee of continuous funding is standard among newly matriculating PhD students. Limited exceptions apply
for PhD students who enter the program with external support and self-financing. Guaranteed funding is not standard for
students entering the MA and HiLS programs.
Funding packages typically include a multiyear guarantee of tuition remission and a health benefits option, subject to
satisfactory progress towards the fulfillment of program requirements.
For FY201 2 (201 1-12 academic year), the pay scale for 9.5-month teaching, graduate, and research assistantships range
between $16,467 and $17,139. Fellowships follow a similar pay scale. Assistantships and fellowships include tuition
remission and a health benefits option. Variations in stipend amounts are due to a number of factors, including the type of
appointment, international student status, previous appointments, and advancement to candidacy.
Additional funding is available through the semiannual Research and Travel Grant competition, the summer term Prospectus
Development Grant competition, matching funds for travel to academic conferences, and various cross -campus funding
competitions. All doctoral students are expected to seek outside funding for pre-dissertation and dissertation field research,
as appropriate.
History graduate students may seek grants and fellowships, assistantships, hourly employment, and other forms of self-
support offered by non-departmental sources.
Contact Information
For complete description of programs and requirements, please contact:
Director of Graduate Studies
2131 Francis Scott Key Hall
Department of History
University of Maryland
College Park, MD 20742-7315 USA
TEL: (301) 405-4268
FAX: (301) 314-9399
see also:
Studies Leading to the Certificate in Historic Preservation
(See entry under Certificate Programs )
History/Library & Information Systems (HILS) dual degree program resulting in an M.A. in History and an M.L.S. in Library
Science.
Dr. Julie Greene, Director of Graduate Studies; Dr. David Sicilia, Associate Director of Graduate Studies
21 15 Francis Scott Key Hall
University of Maryland
College Park 20742-7315
Telephone: (301) 405-4268
Fax:(301)314-9399
hist-grad @ deans .umd.edu
http://www.history.umd.edu/graduate.html
Courses: HIST
History/Library Science (HILS)
Abstract
The Department of History and the College of Information Studies (the iSchool) coordinate a dual -degree master's degree
program to meet the need for multidisciplinary graduate training for archivists, records managers, manuscript curators, rare
book librarians, bibliographers, conservation administrators and those wishing to become subject and research specialists in
academic, special and research libraries. Because of the proximity of the College Park campus to a variety of immensely
rich research collections, students are able to gain first-hand experiences through internships that reinforce their classroom
instruction.
The sequence of courses leading to the two degrees prepares students to understand the intellectual approach of the
research scholar through historic training and to meet those research needs through the information services offered in the
College of Information Studies. The program prepares students for careers in archives and records management,
curatorship of historical collections, scholarly editing and publishing and reference research and bibliographic services,
among others.
The 54 credit hours required for the degrees combine 24 hours in each component plus six elective hours. Since many of
the iSchool courses are offered in sequence, it is important for students to work closely with their advisor.
The MA and the MLS are awarded simultaneously, and a student who fails to complete the special requirements for the
coordinated degree programs may not receive either degree. When a student admitted to the HILS program subsequently
wishes to receive only one degree, he/she must transfer from HILS either to the graduate program in History or to the
College of Information Studies and fulfill the normal requirements for the separate master's degree. The dual-degree History
and Library Science offers the option of a degree-by-thesis as well as a degree-by-examination.
219
Admissions Information
Students must apply for admission to both the Department of History and the College of Information Studies under the rubric
HILS (History and Library Science). There is one, consolidated application, but two, independent admission decisions. An
offer of admission from both, the Department of History and the College of Information Studies is required in order to be
admitted to the dual-degree program.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: December 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 15
Application Requirements
(Send all required materials to both departments)
1 . Statement of Goals, Experiences, and Research Interests
2. Three Letters of Recommendation
3. CV/Resume
4. Transcripts
5. GRE General
6. Writing Sample
Degree Requirements
History and Library Science Joint Degree (M.A. M.L.S)
Financial Assistance
The College of Information Studies and the Department of History make available a limited number of teaching and/or
graduate assistantships for master's students, including students in the HILS dual-degree program. These assistantships are
awarded on the basis of merit, staffing needs, and budget. Neither academic unit extends guaranteed awards.
Contact Information
College of Information Studies
Admissions and Student Affairs Office
Room 4110 Hornbake Library Building, South Wing
University of Maryland
College Park, MD 20742-4345
(301)405-2038
ischool.umd.edu
ischooladmission@umd.edu
Dr. David Sicilia
Associate Director of Graduate Studies
Department of History
2131 Francis Scott Key Hall
University of Maryland
College Park, MD 20742-7315 USA
(301)405-4268
http://www.history.umd.edu/graduate.html
Courses: HIST LBSC
Human-Computer Interaction (HCIM)
Abstract
As the world grows increasingly more dependent on new technologies, the need has never been greater to create easy-to-
use, meaningful technologies for diverse populations. Today, technology is an integral part of the lives of individuals
everywhere; it touches every aspect of the ways in which people learn, work and play. The Master of Science in Human -
Computer Interaction degree integrates information studies, computer science, education, psychology and engineering to
prepare HCI leaders of the future.
Through coursework and research experiences, students in this program will develop skills in:
Fundamentals of Human-Computer Interaction
Advanced research methods
Usability analysis and testing
Social computing strategies and technologies
Technology design
Electives, individual research experiences and projects will allow students to develop their own specialties within HCI.
220
Admissions Information
Admission to the Master of Science in Human-Computer Interaction (HCIM) is competitive. Applicants must have a
baccalaureate degree from a regionally accredited college or university with a minimum "B" or 3.0 average on a 4.0 scale on
all academic work attempted for consideration.
The Admissions Portfolio
Applications for admission are evaluated on the basis of these criteria:
• strength of academic record
• strength of the three recommendations/evaluations submitted on one's behalf from persons competent to judge probable success in
graduate school
• acceptable scores on the General Test of the Graduate Record Examination (Scores must be no older than five years at the time of
application.)
• Response to admissions question: "What artifact do you regularly use that you like or you really don't like?" Answers to this question must
include a visual representation and a text description explaining the reason behind your selection, totaling no more than 5 pages.
• Admissions Statement: Please address how the HCIM will support your educational and career goals.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: February 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Application Requirements
1 . strength of academic record
2. strength of the three recommendations/evaluations submitted on one's behalf from persons competent to judge probable success in
graduate school
3. acceptable scores on the General Test of the Graduate Record Examination (Scores must be no older than five years at the time of
application.) For more information on the GRE waiver please visit the College of Information Studies website at ischool.umd.ed.
4. Response to admissions question: "What artifact do you regularly use that you like or you really don't like?" Answers to this question must
include a visual representation and a text description explaining the reason behind your selection, totaling no more than 5 pages.
5. Admissions Statement: Please address how the HCIM will support your educational and career goals.
Degree Requirements
Master of Science in Human-Computer Interaction (HCIM)
The Master of Science in Human Computer Interaction (HCIM) is a unique cross disciplinary degree program that integrates
information studies, computer science, education, psychology and engineering to prepare future HCI leaders in industry,
government, education and other sectors. Through coursework and research experiences, students in this program will
develop skills in the fundamentals of HCI, advanced research methods, usability analysis and testing, social computing
strategies and technologies, and technology design.
With the aid on an advisor, the HCIM student devises a plan of study to meet graduation requirements: three core courses, a
required internship, a thesis or capstone project, and elective courses for a total of 30 credit hours.
Core Courses
The core courses introduce a broad range of concepts related to HCI and provide the necessary background for more
specialized courses and the completion of the thesis or capstone project.
LBSC795 Principles of Human-Computer Communication (3 credits)
LBSC708N Special Topics in Information Studies: Human-Computer Interaction
Design Methods (3 credits)
LBSC 701 Research Methods in Library and Information Studies (3 credits)
Required Courses
In addition to the core courses, students must complete the following:
Required Internship (3 credits)
Thesis or capstone project (6 credits)
Elective Courses
Students will take 12 credits of elective courses in the following areas: Information Policy, Information Ethics, Users and Use
Context, Information and Universal Usability, Information Environments.
Facilities and Special Resources
The College operates four research centers and labs: the Human-Computer Interaction Lab (HCIL), the Information Policy
and Access Center (iPAC), the Cloud Computing Center (CCC), and the Center for Advanced Study of Communities and
Information (CASCI).
Financial Assistance
Courses:
221
Information Management (INFM)
Abstract
The Master of Information Management (MIM) is an innovative program that addresses the growing need of organizations
for skilled information professionals who know how to strategically manage information and technology. Every cutting -edge
organization needs people with the skills the MIM degree program offers.
The MIM program prepares information professionals who understand the issues of business management, computer
science, and information services and systems. The MIM program fills an empty space among these disciplines.
The MIM program excels at teaching future information professionals what they need to understand to manage issues
related to users of information, the organization, the content, the technology, and the global environment.
The Master of Information Management is a unique cross-disciplinary degree program that combines theory and problem-
based learning. It requires the completion of 36-credit hours, which can be taken as a part-time or full-time student. The
program is designed to provide both structure and flexibility. The courses are integrated into four main blocks:
- Core courses form the foundation of the program and build a common platform among a diverse group of students who
bring different professions, perspectives, cultures, and experiences to the classroom.
- Specialized courses in Management and Information Technology enable students to build advanced skills and knowledge
and to develop the expertise required in the information field.
- Applied courses allow students to connect theory from their learning experience to real-world settings through projects
carried out in partner organizations.
- Elective courses provide flexibility to the program and allow students to pursue their own interests and specific needs in
greater depth.
HOW IS THE PROGRAM STRUCTURED?
The Master of Information Management program offers an Individual Program Plan and two concentrations: the Strategic
Management of Information Concentration and the Socio-Tech Information Systems Concentration. Each is specifically
designed to satisfy different career paths:
The Individual Program Plan: Intended for students who want to follow the internal advancement path. Successful
professionals need a general knowledge in management and information technology. The plan of study is customized to the
student's particular circumstances, to advance within his/her current profession and organization.
The Strategic Management of Information Concentration: Intended for those students who want to follow the CIO (Chief
Information Officer) or general management path.
The Socio-Tech Information Systems Concentration: Intended for those students who want to follow the CTO (Chief
Technology Officer) or director of technology development path.
The Master of Information Management degree program is available at the College Park campus and at the Universities at
Shady Grove in Rockville, Maryland. Space and resources are limited at the College Park campus; applicants are
encouraged to apply to the MIM program at Shady Grove. Please contact the Admissions and Student Affairs Office for
more information concerning the option to enroll at the Shady Grove campus.
Admissions Information
Applicants to the MIM program must submit these documents:
• Graduate School application
• Official transcripts from each college or university attended
• Targeted applicant essay
• Current resume
• Three (3) recommendations/evaluations
• Score report on the General Test of the Graduate Record Examination (GRE). Please visit the College of Information Studies website at
ischool.umd.edu for GRE waiver requirements.
The deadline for applications are as follows:
Application Deadlines
Type of Applicant
Fall
Spring
Summer
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Preferred: February 1
Preferred: November 1
Preferred: February 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Deadline: June 1
Deadline: February 1
Application Requirements
Applications for admission to MIM program are evaluated on the basis of the following criteria:
• a baccalaureate degree from a regionally accredited college or university with a minimum "B" or 3.0 average on a 4.0 scale on all
academic work attempted for consideration
• strength of the three (3) recommendations/evaluations submitted on one's behalf from persons competent to judge probable success in
graduate school
222
• strength of targeted applicant essay
• acceptable scores on the General Test of the Graduate Record Examination (GRE).
• Other factors such as previously earned graduate degrees and work experience are considered as well.
Degree Requirements
Masters of Information Management (M.S.)
Facilities and Special Resources
The College operates four research centers and labs: the Human-Computer Interaction Lab (HCIL), the Information Policy
and Access Center (iPAC), the Cloud Computing Center (CCC), and the Center for Advanced Study of Communities and
Information (CASCI). The College also operates a student computer lab for currently enrolled students.
Financial Assistance
For more information on merit-based aid, please visit the College of Information Studies website at ischool.umd.edu.
Contact Information
Please contact the Admissions and Student Affairs Office for more information on the admissions process at
ischooladmission@umd.edu. Please visit the College of Information Studies website at ischool.umd.edu for details on
upcoming Information Sessions or Open House programs.
Office of Admissions and Student Affairs
College of Information Studies Room 41 10 Hornbake Building, South Wing University of Maryland College Park
MD 20742
Telephone: (301) 405-2038
Fax:(301)314-9145
ischooladmission@umd.edu
http://ischool.umd.edu
Courses: INFM
Information Studies (INFS)
Abstract
Graduates of the Ph.D. in Information Studies program will help to shape the future of information. At the College of
Information Studies, Maryland's iSchool, our renowned faculty and inquisitive and passionate doctoral students are exploring
how information profoundly touches our lives: in government, education, health care, employment, and more.
Building upon our strong foundation in library and information science, the iSchool has grown into an education and
research powerhouse in human-computer interaction, information retrieval, cloud computing, information policy, e-
government, digital archives, information ethics, and social media. Our tight-knit learning community is driven by the pursuit
of big ideas and new discoveries to imagine how we can empower citizens, inspire communities, energize economies, and
sustain democracies.
We recognize that technology and public policy play critical roles in this evolving field: Maryland's iSchool takes full
advantage of the university's location right outside Washington, D.C., the information capital of the world. We forge strategic
partnerships and provide unmatched research, internship, and career opportunities with the government agencies,
nonprofits, and businesses that shape information studies.
We also believe that information goes hand-in-hand with inclusion. We offer one of the only programs of its kind designed to
train the next generation of information professionals in working with diverse populations.
U.S. News & World Report recognizes Maryland's iSchool as one of the top information schools in the country, ranking it
10th in the nation. Five of our specializations are listed in the Top 10.
Maryland's iSchool is a gateway for transforming how people find, assess, and provide information to the world. We're
imagining the exciting changes ahead.
Admissions Information
When the completed application forms; resume; statement of goals, research interests, and experiences; transcripts of all
academic work attempted; the Graduate Record Examination (GRE) scores; and the three letters of recommendation have
been received by the College, we will review your application. If the Doctoral Committee needs further information, we will
contact you to arrange for a personal interview.
Detailed Application Requirements
Transcripts for all undergraduate and graduate work. Official transcripts must be sent directly from all of your undergraduate
and graduate institution(s).
Graduate Record Exam (GRE). Scores must be sent directly from ETS. Our institution code is 5814 and our department
code for all programs is 4701 . The GRE is required, and must have been taken within five years of the application deadline.
Absolutely no waivers are possible.
Three Letters of Recommendation. Three recommenders must submit their recommendations directly to the Graduate
School. It is preferable to request at least one letter from a former professor who is able to give an in-depth evaluation of the
strengths and weaknesses of your academic work.
223
Statement of Goals, Research Interests, and Experiences. Your statement of goals, research interests, and experiences
should describe your research background, your plans for future research, your career goals, and a list of iSchool faculty
with expertise relevant to your research interests.
Current Resume. Your resume or CV should list your educational and work experience as well as any publications, awards,
or other notable accomplishments.
Relevant Master's Degree. If you have already received a master's degree in Information Studies or a field related to your
research interests, you may advance directly to the Ph.D. program. Otherwise, you will need to enroll in a dual degree
program including the Ph.D. and one of the master's degrees offered by the College of Information Studies (Master of
Library Science, Master of Information Management, or the Master of Science in Human-Computer Interaction).
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: December 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: November 1
Application Requirements
1 . Transcripts for all undergraduate and graduate work
2. Graduate Record Exam (GRE)- Scores must be no older than five years. No waivers granted.
3. Three Letters of Recommendation
4. Statement of Goals, Research Interests, and Experiences
5. Current Resume
6. Relevant Master's Degree (Please see Admissions Information section above for details.)
Degree Requirements
Doctor of Philosophy (Ph.D.)
Doctoral students must complete a minimum of 25 graduate credit hours at the University of Maryland (or 28 hours if basic
statistics is taken as a graduate course). Course work will be taken in three areas of study: Information Studies (6 credit
hours), Research Methods and Design (10 credit hours), and specialized area(s) (9 credit hours). Milestones within the
program include a first year review, an integrative paper, and a dissertation.
Facilities and Special Resources
The College operates four research centers and labs: the Human-Computer Interaction Lab (HCIL), the Information Policy
and Access Center (iPAC), the Cloud Computing Center (CCC), and the Center for Advanced Study of Communities and
Information (CASCI). iSchool faculty and doctoral students also participate in or have affiliations with the University of
Maryland Institute for Advanced Computer Studies (UMIACS), the Maryland Institute for Technology in the Humanities
(MITH), and the Computational Linguistics and Information Processing Laboratory (CLIP), as well as the Departments of
Computer Science, English, and Sociology, the Robert H. Smith School of Business, and the College of Education.
Financial Assistance
Information on the availability of financial assistance is available on the College of Information Studies website at
http://www.ischool.umd.edu. The College seeks to offer funding to entering doctoral students throughout their study in the
doctoral program, contingent on factors such as successful progress through the doctoral program, likelihood of timely
completion of the doctoral program, qualifications, and the availability of funding.
Contact Information
For specific information on the academic programs available in the College of Information Studies, admission procedures, or
financial aid, contact:
Office of Admissions and Student Affairs
41 1 Hornbake Building
South Wing
University of Maryland
College Park
MD 20740
Telephone: 301-405-2038
Fax:301-314-9145
ischooladmission@umd.edu
http://www.ischool.umd.edu
Dr. Kenneth R. Fleischmann, Associate Professor
4105 Hornbake Building South Wing
MD 20742
kfleisch@umd.edu
Courses:
224
Jewish Studies (JWST)
Abstract
The Jewish Studies Program offers both a Master's Degree in Jewish Studies, and a Graduate Certificate in Jewish Studies.
The Master's Program in Jewish Studies is designed to offer students broad, interdisciplinary, graduate-level training in
Jewish Studies, as well as in-depth focus on some aspect of the Jewish experience. The curriculum draws on the strengths
of the Jewish Studies Program at Maryland, especially Jewish History, Bible, Jewish Literature and Cultural Studies
(particularly in the ancient and modern periods), Yiddish, Philosophy, Religious Studies, and Israel Studies. In addition,
students take courses in cognate fields outside of Jewish Studies in consultation with their advisors. The extremely strong,
and still growing, library collection (rivaled in the mid-Atlantic region only by the Library of Congress), and our proximity to
the National Archives, the Library of Congress, the U.S. Holocaust Memorial Museum, and other museums and institutions
make the University a prime location for graduate Jewish Studies.
The Post Baccalaureate Certificate in Jewish Studies offers students already enrolled in graduate programs at the University
to receive training in Jewish Studies. The program draws on faculty in History, English, Philosophy, Hebrew, and other
Departments and Programs.
Admissions Information
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: December 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Application Requirements
• GRE
• 3 Letters of Recommendation
• Academic Writing Sample
• Personal Statement
• Transcripts
Degree Requirements
Master of Arts (M.A.)
1. Hebrew Language. As a prerequisite for admission, students must have achieved the proficiency-level corresponding to
four semesters of university-level Hebrew, and must achieve the level of six semesters of university-level Hebrew by the
time they have completed the program. Courses in Hebrew language will not count toward the 30 credits needed for the
degree. Students will be asked to demonstrate their knowledge of modern academic Hebrew by examination, or through a
research project making extensive use of Hebrew-language materials.
2. Course of Study.
Core Distribution: (a) JWST 600, General Seminar in Jewish Studies (3 credits), which introduces students to the fields,
methods, and problems of Jewish Studies as a cluster of disciplines; (b) one course each in the general areas of Jewish
History, Jewish Thought or Religion, and Jewish Literature, normally by enrolling in JWST 648, Readings in Jewish history;
JWST 658, Readings in Jewish Thought; and JWST 678, Readings in Jewish Literature (9 credits total).
Specialization: 4 courses (12 credits) in consultation with the advisor. Students may opt to write an MA Thesis (6 credits).
Non-thesis students prepare a dossier of 2 major research papers or their equivalent to be evaluated by an examining
committee.
Cognate Studies: Two courses (6 credits) from outside Jewish Studies in the discipline(s) related to the student's area of
specialization.
Graduate Certificate in Jewish Studies ()
In order to be eligible for the Jewish Studies Certificate Program a student must be accepted into or currently enrolled in a
master's or doctoral degree program at the University of Maryland.
Students must take four graduate level courses (12 credits) in Jewish Studies. At least six of the 12 credits must be in a
different discipline than the student's home department. All students take JWST 600, General Seminar in Jewish Studies,
plus at least two other graduate readings or research courses at the 600-800 level. Only one 400-level course can count
toward the certificate. Students must work with an advisor to determine which courses best suit their particular needs.
Facilities and Special Resources
The University's libraries hold over 3,000,000 volumes and house among the strongest holdings in Judaic Studies in the
Mid-Atlantic region. In addition to the outstanding holdings of the Library of Congress, the area also offers the specialized
resources of the Dumbarton Oaks, the National Archives, the Smithsonian Institution, the U.S. Holocaust Memorial Museum,
225
and numerous other scholarly, cultural, and political resources. Through the Consortium of Institutions in the Washington
D.C. area, University of Maryland graduate students may enroll in courses at other universities for graduate credit.
Financial Assistance
MA applicants are eligible for University-wide fellowships. In addition, the Jewish Studies program may award up to two
fellowships per year to outstanding Masters students.
Limited funds may be available for outstanding certificate students.
Contact Information
For more information, please contact the Jewish Studies Program.
The Jewish Studies Program
0142 Holzapfel Hall College Park
MD 20742
Telephone: 301 405 4975
Fax: 301 405 8232
jwst@arhu.umd.edu
http://www.jewishstudies.umd.edu
Courses: J WST
Journalism (JOUR)
Abstract
The Philip Merrill College of Journalism offers a Master of Journalism (JOMJ), a Master of Arts in Journalism (JOUR) and a
Doctor of Philosophy in Journalism Studies (JOST).
The College accepts full-time students to the master's program, which is designed to be completed in one year. There are
specialized tracks in multi-platform journalism and broadcast journalism available to students. The College also offers a
highly individualized program for veteran journalists, which may be completed on a part-time basis.
Students admitted to the standard master's program in multi-platform or broadcast journalism are not required to possess
prior training or experience in the field. Students admitted to the returning journalist program, however, must have at least 5-
8 years of professional experience.
The Ph.D. in Journalism is a full-time research-oriented program that prepares students for careers in university teaching,
academic and industry research and media consulting. Doctoral students are expected to have some professional
experience in journalism.
For more information, visit: http://www.merrill.umd.edu/
Admissions Information
Applicants seeking admission to the master's program must hold a bachelor's degree from a recognized institution of higher
learning. Undergraduate study of journalism and professional experience in journalistic fields are not required. Completion of
the general aptitude portion of the Graduate Record Examination is required and three letters of recommendation must be
submitted.
Applications for the master's program are considered for admission in the Summer or Fall semesters. Students beginning
the master's program in the summer can graduate within 12 months, whereas students beginning in the fall can graduate in
15 months. The program does not accept applications for admission in the Spring semester. The deadline to apply for
admission to the master's program for the Summer or Fall semesters is February 1 . Please note that applications submitted
after the deadline will not be considered until the following year, and all supporting application materials must be received by
February 1 .
Applications for the doctoral program are considered only for Fall semester enrollment. The deadline to apply to the doctoral
program is January 15, and all supporting application materials must be received by this date.
Application Deadlines
Type of Applicant
Fall
Spring
Summer
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
1. GRE General
2. 3 Letters of Recommendation
3. Personal Statement of Goals and Experiences
4. Official Transcripts
5. Resume or Curriculum Vitae (recommended)
226
Degree Requirements
Doctor of Philosophy (Ph.D.)
Maryland's Ph.D. in Journalism Studies is designed to prepare students for careers in university teaching, academic and
industry research, and media consulting. The first two years of the program consist of coursework in theory, research
methods, journalism and an outside area of interest. At the end of coursework, students take comprehensive examinations
(in theory, cognate area, methodology, and in their areas of specialization). Students then conduct research and write the
dissertation. Most successful candidates enter the program with a master's degree, but that requirement can be waived for
people with extensive professional news experience.
For more information on the doctoral program, see: http://www.merrill.umd.edu/phd/about
Master of Journalism/Master of Arts (M.J./M.A.)
The master's degree is typically a 36-credit program (30 credits are required for students in the Returning Journalists
specialization). The MJ is a non-thesis degree. Students pursuing an MA take six credits preparing a thesis.
Students who enter the program with significant professional newsroom experience can request to opt out of the two
required 500-level courses. Students on the 12-month track begin in the summer and take six credits each in summer
session one and two, and 12 credits each in fall and spring. Students who start in the fall semester take their coursework
fall, spring, and the following fall. The program's capstone experience is the Capital News Service, where students serve as
full-time reporters in news bureaus in Washington and Annapolis; at the college's TV station, UMTV; or for our online news
magazine, Maryland Newsline, which is produced in College Park from our state-of-the-art facilities in Knight Hall.
For more information on our programs, visit: http://merrill.umd.edu/masters
For more information on the Returning Journalist program, see: http://www.merrill.umd.edu/masters/programs/returning-
journalists
Detailed information on the requirements of our programs can be found in the master's program handbook, available online
at: http://www.merrill.umd.edu/masters.
Facilities and Special Resources
The University of Maryland is located just a few miles from Washington, the media capital of the world. Students and
researchers have access to The Washington Post, USA Today and hundreds of Washington bureaus for newspapers and
TV news outlets from around the world.
The Philip Merrill College of Journalism operates a daily news bureau in the National Press Club, a few blocks from the
White House, and in Annapolis, less than a block from the Maryland State House. On campus, the college operates a
multimedia news bureau, Maryland Newsline. In addition, the college runs UMTV, a cable TV station that reaches more than
600,000 homes throughout suburban Washington and Baltimore. Equipped with state-of-the-art digital editing systems,
students produce a 30-minute nightly newscast and a professional staff produces original programming. In 2010, the College
opened Knight Hall, our new state-of-the-art building with multiple news labs and opportunities for multiplatform
experimentation. Knight Hall brings all of the College's affiliated centers under one roof.
Centers
The Philip Merrill College of Journalism is home to a number of centers and programs designed to help professionals
improve various aspects of journalism.
The Hubert H. Humphrey Journalism Fellowships: The Humphrey fellowship is a special one-year program that brings
international journalists to the University of Maryland to study. Fellows seek to strengthen their management and leadership
skills and make professional contacts. The fellowship program is led by former Philadelphia Inquirer reporter Lucinda
Fleeson. http://www.journalism.umd.edu/Humphrey
The Journalism Center on Children and Families: Launched in 1993 as the Casey Journalism Center, the Journalism Center
on Children and Families is a national resource for journalists who cover children and family issues. Its mission is to
enhance reporting about the issues and institutions affecting disadvantaged children and their families and to increase public
awareness about the concerns facing at-risk children. The center provides journalists with information on issues affecting
children and families, such as health, education, child care, child welfare, human services, foster care and mental health. It
holds an annual conference for journalists and conducts a contest that awards prizes to the best print and broadcast
reporting on children and family issues, http://www.cjc.umd.edu
The Society for Features Journalism: Founded in 1947, the Society for Features Journalism (formerly the American
Association of Sunday and Features Editors, or AASFE) is "dedicated to the quality of features in newspapers." The
independently operated group sponsors an annual convention, a writing contest, regional workshops and a fellowship
program designed to develop minority feature writers. It also publishes two magazines, "Style" and "Feedback." SFJ's
membership of nearly 200 is limited to newspaper feature editors and Sunday section editors, http://featuresjournalism.org
The National Association of Black Journalists (NABJ): NABJ is an organization of journalists, students, and media-related
professionals that provides quality programs and services to and advocates on behalf of black journalists worldwide.
Founded in 1 975, NABJ is the largest organization of journalists of color in the nation.
Publications
American Journalism Review\s a national bimonthly magazine that monitors press performance and standards. It was
ranked highest among publications in its field for readership, quality, and usefulness in a national survey by the American
Society of Newspaper Editors. The magazine, started as Washington Journalism Review in 1977, was acquired by the
College of Journalism in 1987. The dean of the College is president of AJR.
Financial Assistance
The Philip Merrill College of Journalism offers a number of merit-based fellowships and scholarships. These include:
Howard Simons Fellowship. Funded by The Washington Post in honor of the late Howard Simons, this fellowship goes to a
promising student of color who has demonstrated an interest in a career in newspapers. To be considered for the Simons
227
Fellowship, applicants to the College's master's program must submit a letter of interest and samples of their work. The
fellow receives 20 credits of tuition remission and a stipend of $15,000.
Eleanor Merrill Graduate Fellowships. Named in honor of Ellie Merrill, the chairwoman emerita of the College's Board of
Visitors and the widow of College benefactor Philip Merrill, these awards typically include stipends of $7,500 and 1 credits
of tuition remission for the academic year.
Lillie Z. Goldberg / Hodding Carter III Scholarship. This $2,000 scholarship is awarded to an outstanding applicant to the
Multi-Platform Journalism program who has exhibited a commitment to Public Affairs Reporting.
Mary Anne and Frank A. Kennedy Scholarship. A $5,000 award plus limited tuition remission is given to an outstanding
graduate applicant.
The Hiebert Journalism International Travel Award. An endowed fund established by and named for College founding dean
and Professor Emeritus Ray E. Hiebert. Provides reimbursement of travel expenses of up to $2,500 (or more, depending on
endowment investment growth) for one student annually for travel outside the United States for a seminar, conference or on
a journalism-related itinerary. Initial application is to the dean of the College of Journalism; it will be considered by a faculty
scholarship/awards committee.
Assistantships. Teaching, research and administrative assistantships are available and include tuition remission of up to 10
credits per semester and stipends starting at $16,000. Master's students interested in assistantships must apply to individual
units.
For more information, see: http://www.merrill.umd.edu/masters/fellowships-aid
Contact Information
Specific information about the Journalism Program is available on request from:
Caryn Taylor-Fiebig, Assistant Director of Graduate Studies
11 00 Knight Hall,
University of Maryland-College Park
MD 20742
Telephone: (301) 405-2380
Fax:(301)314-9166
jourgrad@deans.umd.edu
http://www.merrill.umd.edu
Courses: JOUR
Kinesiology (KNES)
Abstract
A vital part of the School of Public Health, the Department of Kinesiology offers programs leading to the Master of Arts
(thesis and non-thesis options) and Doctor of Philosophy degrees. Research emphases within the three broadly defined
areas of exercise physiology, cognitive motor neuroscience, and physical cultural studies are offered. Within each of these
cognate areas, students develop specialized programs with faculty guidance and consistent with faculty expertise. Details of
faculty research interests and additional information can be found at the department website http://www. sph.umd.edu/iasfES/
Admissions Information
Students may qualify for admission with a 3.0 GPA for M.A. or 3.5 GPA for Ph.D. programs, satisfactory GREs, and a
focused letter detailing academic and research goals as well as previous research experiences. In addition, each applicant
should submit a minimum of three strong recommendations from people knowledgeable about the applicant's prior academic
achievements and research potential. Appropriate background course work closely aligned with the intended research
specialization is expected. Graduate faculty sponsorship is also necessary for admission; each faculty member has only a
limited number of openings and only the most highly qualified applicants are selected. Faculty review of applications does
not occur until all required parts of the application are received. This review is done in early January; therefore applicants
are encouraged to have all their application materials submitted by January 1 for best consideration for admission and
financial support.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 15
Deadline: October 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Deadline: June 1
Application Requirements
1. GRE General
2. Three Letters of Recommendation (Research/Academic)
3. Statement of Goals, Research Experiences and Interests
228
Degree Requirements
Master of Arts (M.A.)
Completion of the master's degree with thesis requires a minimum of 24 semester hours and six thesis credits. The M.A.
non-thesis option requires a minimum of 27 semester hours, a three-credit project based on an independent scholarly
investigation, and a final comprehensive examination. Students in both options work under the direction of a graduate faculty
advisor and must complete, as a minimum, six semester hours in a cognate area, six semester hours in research processes,
and twelve semester hours in supporting courses either in or outside of the department. If internships are selected as part of
the individual program, the total credits will exceed the minimum 30 credits.
Doctor of Philosophy (Ph.D.)
The doctoral program is designed to prepare outstanding scholars in a research domain in Kinesiology. To complete the
program, a student must provide substantial evidence of his or her ability to frame and complete original research.
A Ph.D. student's program is tailored to meet his or her academic goals, but all students will produce and follow a research
plan and complete a minimum of 90 credit hours relevant to Kinesiology (including dissertation) beyond the bachelor's
degree. The program of study includes research experiences, as well as courses in the cognate area, other supportive
courses outside of the department that broaden or deepen one's knowledge, and courses in research and analytic
processes. Students also are expected to engage in the culture of Kinesiology through active participation in seminars and
other departmental activities and to develop teaching expertise in the subdiscipline. All Ph.D. students are expected to
complete a dissertation, which is the culminating research experience and contributes to knowledge in kinesiology.
Facilities and Special Resources
The Department has three areas of specialization: Cognitive Motor Neuroscience, Exercise Physiology, and Physical
Cultural Studies. Laboratories are maintained, which support original investigations in each of the three areas. Laboratories
include equipment for measuring metabolic parameters, strength, body composition, postural sway, ground reaction forces,
amount of physical activity in daily life, as well as muscle biopsies and movement analysis. The response of the human body
to physical activity/exercise can be viewed through ECG, EEG, EMG and systematic behavior observation systems. Each of
the three research areas has interfaced computer hardware and software to support data collection and analysis.
Collaborations with the School of Medicine at the Baltimore campus and with NIH often result in the availability of other
facilities and equipment. All graduate students have access to computers and other forms of technology. Details and
pictures of current facilities and equipment are available at our website www.sph.umd.edu/KNES/ Cognitive Motor
Neuroscience Lab - Various tools provide students with opportunities to measure, postural sway, ground reaction forces,
multi-digit pressing and moments in 3-D, and movement analysis. These tools include: (1) A three wall rear-projected
monoscopic CAVE display system with three XGA digital projectors. The system is designed for standing humans to be
immersed in a visual world to test questions about how the nervous system processes visual information to maintain upright
stance. (2) A hydraulically-controlled moveable force platform for recording center of pressure and ground reaction forces
inside the CAVE. (3) An Optotrak motion analysis system, capable of tracking up to 24 LEDs simultaneously for whole body
analysis. (4) A touch plate consisting of a miniature force plate capable of resolving .01 N of force in three directions. (5) A
Logitech 6D ultrasonic tracking system consisting of a control unit, two triangular receivers and one triangular transmitter.
Each receiver provides three components of translation (x, y, z) and three components of rotation (yaw, pitch, roll) with a
resolution of .006 cm. (6) A 16 channel EMG Neuraxon system for recording muscle activity. Because responses of the
human body can be viewed through Electrocardiographic (ECG), Electroencephalic (EEG), and Electromyographic (EMG),
we collaborate with the University of Maryland, School of Medicine at Baltimore and the National Institutes of Health. This
results in the availability of other facilities and equipment whereby students may join forces on projects involving
neuroimaging and virtual reality environments. Exercise Physiology Lab The Exercise Physiology group has various
laboratories capable of supporting a wide-range of exercise-related studies, including metabolic testing, muscular strength
and power testing, and various clinical blood-based assays. Moreover, the group collaborates with various nearby facilities
for high-quality measurement of body composition, including muscle size, bone density, and visceral adiposity. A 6,000 sq.
ft. training facility is fully equipped with aerobic exercise training equipment and 20+ Keiser strength training machines for all
major muscle groups. In addition to these general facilities, the group maintains other specialized laboratories. The Exercise
Epidemiology Lab utilizes tools to broaden our understanding of the public health benefits of physical activity. With a special
emphasis on community-based interventions, students examine the effect of levels of physical activity on health outcomes,
predictors of physical activity levels, physical activity measurement and assessment issues, and the conduct of clinical and
community trials. The Functional Genomics Lab studies the role of genetic variation in disease susceptibility and the
responses and adaptations of different individuals to various exercise programs. The lab has state of the art equipment for
genetic analysis, including extensive computer resources. The Molecular Biology Lab has extensive scientific resources for
examining the effects of exercise and inactivity on muscle, adipose, and other cell types utilizing both in vivo and in vitro
approaches. Physical Cultural Studies (PCS) advances the critically and theoretically-driven analysis of physical culture, in
all its myriad forms. These include sport, exercise, health, dance, and movement related practices, which PCS research
locates and analyzes within the broader social, political, economic, and technological contexts in which they are situated.
More specifically, PCS is dedicated to the contextually based understanding of the corporeal practices, discourses, and
subjectivities through which active bodies become organized, represented, and experienced in relation to the operations of
social power. PCS thus identifies the role played by physical culture in reproducing, and sometimes challenging, particular
class, ethnic, gender, ability, generational, national, racial, and/or sexual norms and differences.
Financial Assistance
Teaching and research graduate assistantships are offered each academic year. The Department also has an NIH-funded
pre-doctoral training grant in exercise and aging. At the present time, over two-thirds of the graduate students are financially
supported. Teaching assistants work as discussion leaders and laboratory assistants as well as instructors in physical
229
activity classes. Many research assistants are supported by grants. The department is proactive in seeking University
fellowships for its outstanding applicants; David H. Clarke Fellowships have been awarded recently to the top applicants.
Sally J. Phillips Dissertation Fellowship is also awarded to support the dissertation research of doctoral students. Currently
the department provides partial financial support for all graduate students who are selected to present their research at
scholarly meetings.
Contact Information
For additional information and an application, contact:
Polly R. Sebastian, Academic Coordinator Department of Kinesiology
2351 SPH Building School of Public Health (Valley Drive)
College Park
MD 20740-2611
Telephone: (301)405-2453
Fax: (301 ) 405-5578
knes-grad@umd.edu
http://www.sph.umd.edu/knes
Courses: KNES
Related Programs and Campus Units
Neuroscience and Cognitive Science
Aging, Center on
Nutrition
Landscape Architecture (LARC)
Abstract
The Master of Landscape Architecture (MLA) is a professional degree program that prepares students for work as
academicians and practitioners. The three-year first professional degree curriculum is for students who have a bachelor
degree in a non-design field. The two-year post-professional degree curriculum is for students who have a bachelor degree
in landscape architecture or a related design field. Through the required courses, concentration electives, and individual
research, each student will acquire a thorough theoretical basis, grounding in methods and practices, and exposure to
contemporary local and global issues. The required studio courses and the thesis or creative project, conducted with faculty
and community partners, advances the knowledge base of landscape architecture through research and community
outreach activities.
The MLA program is interdisciplinary in its philosophy and its operation. Individual courses convey concepts and tools from
diverse disciplines and studio, research, and outreach projects have a multi-discipline association. Project and research
advisors come from faculty in Landscape Architecture, Plant Science, Environmental Science, Geography, Geology,
American Studies, Architecture, Urban Studies and Planning, Historic Preservation, Real Estate Development, and other
academic disciplines and professional partnerships.
Admissions Information
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: February 1
Deadline: October 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Deadline: June 1
Application Requirements
1 . 3.0 GPA and Undergraduate transcripts
2. GRE test scores
3. 3 Letters of Recommendation
4. Portfolio of Creative Work*
5. Letter of Interest
'Portfolio: The portfolio is a compilation of graphic, written or scored work that you have created or observed and recorded. This collection
should show your interest and aptitude for the visual language of design. Expertise in design is welcomed but not required. The portfolio
should illustrate your interests in a variety of areas related to landscape architecture. This can be sent in a portfolio case or binder (any size).
CD-ROM, DVD or web-accessible portfolio compilations will also be accepted in lieu of printed material. Portfolios are due no later than
the application deadline. Send portfolio to: Jack Sullivan, MLA Program, 2142 Plant Sciences Building, University of Maryland,
College Park, MD 20742.
230
Degree Requirements
Master of Landscape Architecture (M.L.A.)
Three- Year First Professional Degree Curriculum (71 Credits + 6 credits @ 200-level, if required).
Students will be advised to take remedial Woody Plant Identification courses prior to arrival. The MLA Program requires
these courses in order to meet accreditation standards. Requirements (contact department for detailed curriculum):
Courses in Theory and History (12 Credits)
Courses in Studio Design and Planning (26 Credits)
Courses in Graphic Communication and Practice Technology (15 Credits)
Courses in Ecology and Plant and Soil Sciences (3 Credits + 6 credits of remedial courses)
Courses in Independent Study and Research, with Thesis or Creative Design project(15 Credits)
Two-Year Post-Professional Degree Curriculum (40 credits)
This curriculum is for those students with a Bachelor of Landscape Architecture or other approved environmental design
degree. Requirements (please contact department for detailed curriculum):
Courses in Theory and History (6 Credits)
Courses in Studio Design and Planning (16 Credits)
Courses in Independent Study and Research, with Thesis or Creative Design project(18 Credits)
Facilities and Special Resources
The Master of Landscape Architecture program maintains a balance between design theory and application in a professional
degree curriculum. The MLA program builds upon the strengths of the Department of Plant Science and Landscape
Architecture (PSLA) and the Landscape Architecture Program (LARC). The PSLA Department is composed of faculty
members who specialize in landscape architecture, landscape history, ecology, plant science, urban forestry, turf and golf
course management, and landscape management. It provides a strong, comprehensive grounding for landscape design,
planning and preservation, landscape assessment, site and ecological systems analysis, plant identification, plant
conservation, and plant pathology. Other environmental programs in the College of Agriculture and Natural Resources offer
knowledge and practical insight into the science of ecology, ecological restoration, water and soil conservation, and forest
management. The MLA builds on this collaboration through advanced courses, student advising, and the contribution of
non-teaching programs such as lectures, symposia and research projects.
The MLA complements the undergraduate curriculum leading to the professional Bachelor of Landscape Architecture (BLA)
degree. The BLA is an accredited program that is strongly supported by our constituents in professional design, engineering
and planning firms throughout Maryland and the Mid-Atlantic Region. The MLA 3-year First-professional degree curriculum
distinguishes itself from the BLA by the advanced theory, research and design requirements and expectations of students
with a prior bachelor degree.
The Master of Landscape Architecture Program is located in the Plant Sciences Building on the College Park campus.
Advanced individual computing facilities, personal drafting stations, scanning and printing facilities, and a model-making
workshop are available 24 hours a day, 7 days a week, to every student in the MLA program.
Financial Assistance
A limited number of Graduate Assistantships are available to qualified students. These include Teaching, Research, and
Administration Assistantships. Assistantships can be 9-month or 12-month appointments and include tuition remission (5 to
10 credits each semester, commensurate with GA appointment), an annual salary, health benefits, and in-state tuition, in
exchange for 10 to 20 hours of work per week. Scholarships, fellowships, and other funding sources are available through a
variety of external agents, such as the Landscape Architecture Foundation (LAF), the Garden Club of America (GCA), and
others, including the following:
• Steven G. King Play Environments Scholarship: undergraduate or graduate students enrolled at LAAB-accredited schools. Award: $5,000.
• The Dangermond Fellowship: graduate students in the United States. Award: Up to three (3) $10,000 fellowships.
• Peridian International, Inc./Rae L. Price, FASLA Scholarship. Award: $5,000.
• The Douglas Dockery Thomas Fellowship in Garden History and Design: graduate student in the United States. Award: $4,000.
Go to http://www.laprofession.org/financial/scholarships.htm for more information.
Contact Information
Jack Sullivan, Associate Professor and Coordinator
2142 Plant Sciences Building
College Park
MD 20740-4452
Telephone: 301-405-0106
Fax:301-314-9308
jack@umd.edu
http://www.larch.umd.edu
231
Courses: LARC
Related Programs and Campus Units
Real Estate Development
Architecture
Urban Studies and Planning
Historic Preservation
Environmental Science and Technology
Library Science (LBSC)
Abstract
The Masters of Library Science (MLS) is a fully American Library Association (ALA) accredited program that focuses on
areas central to research and practice in information science. It emphasizes the theoretical and conceptual foundations of
the field. The application of the results of scholarly research are related to current practices and are analyzed with the goal
of advancing the quality and scope of services in a variety of information settings. The program provides a comprehensive
foundation for professional careers in all libraries, information centers, and other agencies engaged in information activities.
The MLS program is available at the College Park campus; the Universities at Shady Grove campus in Rockville, Maryland;
and online. Space is limited at the College Park campus, therefore, applicants are encourage to apply to the Shady Grove
campus or the online program.
For more information about courses available at the Shady Grove campus, admissions deadlines, or to schedule an
informational interview, please contact the Program Director of the MLS at Shady Grove, Dr. Vedat Diker, at
vdiker@umd.edu.
For more information about the MLS Online please contact an advisor at ischooladmission@umd.edu.
Admissions Information
Admission decisions are based upon a thorough review of the applicant's undergraduate record, scores on the Graduate
Record Exam General Test, letters of recommendation, and statement of purpose. Other factors, such as other graduate
degrees and work experience, may be considered as well.
New students are admitted to the MLS program at the College Park campus for the Summer and Fall terms.
Summer, Fall, and Spring admission for the MLS program is available at the Shady Grove campus in Rockville, Maryland
only. Applicants interested in spring admission for the MLS at Shady Grove should contact the Admissions and Student
Affairs Office at ischooladmission@umd.edu or (301) 405-2038 for assistance with the application process.
The MLS Online is cohort based and new students are admitted for the Fall term each year.
Application Deadlines
Type of Applicant
Fall
Spring
Summer
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: February 1
Deadline: November 1
Deadline: February 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Deadline: June 1
Deadline: February 1
Application Requirements
1 . Transcripts for all undergraduate and graduate work
2. GRE General (see the College's website for information on GRE waiver requirements)
3. Three Letters of Recommendation
4. 500 word targeted essay
5. Resume
Degree Requirements
Doctor of Philosophy in Information Studies (Ph.D.)
The Doctor of Philosophy in Information Studies is no longer coded under 'LBSC. Please look under Information Studies
(INFS) in the Graduate Catalog for more information on this program and its requirements.
Master of Library Science (M.L.S.)
The MLS degree requires 36 credit hours of academic work to be completed with a B minimum average within five calendar
years from the first semester of registration. In the nonthesis option, all credits are course work. The thesis option requires
30 credits of course work and 6 credits of thesis research. A full-time MLS student usually completes the program in two
years.
Students in the College have flexibility in completing the program. Students may take courses in the daytime and evening
and may change from part-time to full-time and vice versa, as their circumstances permit. Most MLS courses are offered
both day and evening on a regular rotation; however, there are a few courses that are only offered during the day or
evening.
The History/Library Science (HILS) dual degree program requires 54 credit hours for the MLS and MA in History. The time
limit for completion of all degree requirements for this dual degree program is five years.
232
Each student works with an advisor to design a suitable course of study. All MLS students must successfully complete five
courses in their first 18 credits:
* LBSC 601 Users and Information Context, OR LBSC 605 Archival Principles, Practices and Programs (for students in the
Archives, Records, and Information Management specialization), OR LBSC 640 Library Media Specialists as Information
Professionals (for students in the School Library Media specialization)
* LBSC 635 Management and Administration for the Information Professional (not required for School Library Media
students, who take a specialized management course later in their program)
* LBSC 650 Information Access Services
* LBSC 670 Organization of Information
* LBSC 690 Information Technology
The remaining seven courses are electives selected by the student and a professional academic advisor in the iSchool.
Advisor approval is required before registering for courses.
At least 24 credits of the 36 required must be LBSC courses taken at the College. A student may take courses in other
UMCP departments or through the Consortium at other area institutions (limit of nine credits). Six credits may be transferred
from another accredited graduate program and from Advanced Special Student status at UMCP. Information about policies
and procedures governing degree requirements and courses taken outside the College is available from the College's
Admissions and Student Affairs Office and on the College's website at www.ischool.umd.edu.
Specializations and Concentrations
Students may choose to specialize in one of two areas:
* Archives, Records, and Information Management
* School Library Media
Alternatively, students may choose one of these three concentrations:
* E-Government Concentration
* Information and Diverse Populations Concentration
* Lifelong Access
MLS students may work with their advisors to define their own course plans, and are certainly not required to pursue a
specialization, concentration, or dual degree.
Facilities and Special Resources
Special computing labs with a variety of general purpose and specialized hardware and software are operated by the
College; in addition, students use numerous other labs on campus. The Instructional Development and Support Center is a
nonprint media facility with equipment, materials, instruction, and individual assistance in all phases of audiovisual
production and use.
Faculty and students participate in cooperative research with staff of the University Libraries, the Human-Computer
Interaction Laboratory, and other campus units. Students have access through cooperative arrangements and programs to
the resources of Archives II, the National Agricultural Library, the Library of Congress, and other prominent research
facilities.
Financial Assistance
The College offers a very limited number of scholarships and assistantships. For more information please visit the College
website at www.ischool.umd.edu. In-state tuition fees for the MLS program may be available for students from states that
are members of the Academic Common Market of the Southern Regional Educational Board. For more information about
the Academic Common Market and to check eligibility please visit
http://www.sreb.org/page/1304/academic_common_market.html.
Contact Information
For specific information on the academic programs available in the College of Information Studies, admission procedures, or
financial aid, contact:
Office of Admissions and Student Affairs
4110 Hornbake Building, College Park
MD 20742
Telephone: (301) 405-2038
Fax:(301)314-9145
ischooladmission@umd.edu
http://www.ischool.umd.edu
Courses: LBSC
Linguistics (LING)
Abstract
Research on language has proven to be one of the most fruitful means to cast light on the nature of the human mind and
general cognitive capacity and has taken on a new momentum in the last 30 years. The Maryland Linguistics program builds
on these recent developments and trains students thoroughly in a research enterprise which tries to develop a detailed
answer to these questions: How is a person's linguistic capacity represented in the mind, how does that representation
reflect properties which are encoded genetically, how is language acquired by young children, how can language be
233
encoded as a computational, psychological or neurological system, and how can linguistic knowledge be used to improve
human language technology?
The Department of Linguistics at the University of Maryland has an internationally recognized Ph.D. program. The Department combines
current theoretical research in phonology, morphology, syntax, semantics with state-of-the-art experimental research in psycholinguistics,
first language acquisition, language processing, neurolinguistics, and computational linguistics. An interdisciplinary background enables
students to evaluate proposals critically and make a lasting contribution to the field. Many students choose to split their major and minor
areas between theoretical and experimental linguistics. Many students also choose to concurrently pursue the Certificate Program in
Neuroscience and Cognitive Science . The department also hosts an NSF-supported interdisciplinary training program on "Biological and
Computational Foundations of Language Diversity" (see web site for more information).
The Department encourages applications from students with an interest in the Department's areas of expertise. Students with a primary
interest in Neurolinguistics and Cognitive Science may also want to consider applying to the Neuroscience and Cognitive Science (NACS) Ph.D.
program. See the Cognitive Neuroscience of Language Laboratory for more details on alternative programs of study for psycholinguistics.
Students seeking a Ph.D. in other areas of linguistics may want to consider a range of other strong programs at the University of Maryland.
The PhD program in Second Language Acquisition , based in the School of Languages, Literatures and Cultures, has a strong cognitive science
and research focus. Students with a focus on TESOL should consider the Curriculum and instruction Program , based in the College of
Education. Students with a clinical focus should also consider the Hearing and Speech Sciences Program . Students interested in human
language technology should also consider the PhD programs in the iSchool (CLIS) or the Department of Computer Science .
Admissions Information
All students must hold a Bachelors or Master's degree (or international equivalent) prior to starting the Ph.D. program.
Although the student's previous degrees may be in a field other than linguistics, it is essential that a student have some
previous experience in linguistics.
Applicants should check the University's admission requirements and the department's web site for the most up-to-date information on graduate
applications. Electronic submission of application materials is strongly preferred. Applicants are encouraged to submit the initial on-line
application form well before the application deadline, preferably by mid-December, since this form must be processed before an applicant is
able to submit other electronic materials. Note that the January 5th target date applies to all applicants, domestic and international.
Applications normally require:
1. Application Form & Application Fee: See the Graduate School web site. Early submission of the initial on-line application is strongly
encouraged.
2. Statement of Purpose: This should provide a clear explanation of what your objectives are in pursuing an advanced degree in Linguistics,
and at Maryland in particular. Mention specific interests or relevant experience where applicable. The Statement of Purpose is not a literary
contest or an invitation to flatter members of the department; there is no 'recipe' for a strong Statement. The Statement of Purpose allows
the Department to better understand an applicant's goals, interests, and how well the applicant will be served by the department's areas of
expertise.
3. Writing Sample(s): This should preferably represent original work done in linguistics, but work in other fields showing evidence of careful
analysis and independent thought is also acceptable. Writing samples should be in English.
4. Letters of Recommendation: These should come from at least three people who know your work well, and who can offer a detailed, honest
assessment of your abilities and experience, and your suitability for an advanced degree in Linguistics.
5. GRE General Test: Although this test is not absolutely required for admission, all applicants who hope to receive financial aid are strongly
advised to take the GRE test. A wider range of sources of financial aid are open to students who have taken the GRE test.
6. TOEFL Test (or TOEFL), for international students. See the Graduate School web site for exceptions.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: May 15
Preferred: January 5
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Preferred: January 5
Application Requirements
Degree Requirements
Master of Arts (M.A.)
Under exceptional circumstances, students are awarded an MA degree on completion of the core coursework requirements
(six courses, see PhD requirements), four further classes, and writing either an MA thesis which is defended publicly (LING
799) or two comprehensive papers in different areas of language study (LING 798). Two of the post core-level class
requirements should be taken in the Department of Linguistics, with the rest being taken either in Linguistics or in other
departments satisfying a secondary area of specialization and complementing the student's work. Note that the
Department of Linguistics does not normally admit students whose objective is a terminal M.A. degree. The M.A.
degree primarily serves students who withdraw from the Ph.D. program.
Doctor of Philosophy (Ph.D.)
Students pursuing the Ph.D. take at least 33 graduate-level credits of course-work, of which at least 9 credits are at the 800-
level (seminars) and 6 credits correspond to the Minor area of specialization, possibly in another department. These
minimum requirements are usually fulfilled by formal classes and not by independent studies, although the latter may be
used to supplement a student's program of study. The student's first year is normally devoted to the "core", foundational
234
coursework in the department's three primary research areas: (i) theoretical linguistics (syntax, semantics, phonology), (ii)
psycholinguistics/neurolinguistics/language acquisition, (iii) computational linguistics. Students must take at least 6 core
courses, comprising at least two 2-semester core course sequences. At least one of these core course sequences must be
in an area of theoretical linguistics. The core courses are the 600 level LING courses and LING 723, 773. The core
sequences are:
1. LING 61 0,611 Syntax
2. LING 620, 621 Phonology
3. LING 640, 641 Psycholinguistics
4. LING 723, 773 Computational Linguistics
5. LING 660, 661 Semantics
In addition to satisfying (part of) the 9 credit requirement for seminars, the next two years are devoted to satisfying 6 credits (beyond any
core courses) in the Minor, as approved by the Graduate Director. Some students choose to pursue the Certificate in Neuroscience and
Cognitive Science, which may count as the minor area.
By their fifth semester, students write a substantial paper (LING 895), under the supervision of a faculty member. This paper enables
students to demonstrate a capacity for productive research and to make an original contribution to the literature, often forming the basis for
the dissertation research. It is submitted to a three member examining committee, is defended publicly two weeks later, and must be
approved by the committee after the defense. The student must then upload the completed 895 paper to the 895 folder in the department
PDF locker, and inform the Graduate Director that this has been done.
In addition, by their seventh semester students must also write a paper in their Minor area of specialization (or some other area that is not
their major area). The paper must be prepared under the supervision of a member of the faculty. Once the paper is completed to the
satisfaction of the supervising faculty member, it must be uploaded to the 896 folder in the department PDF locker, and the Minor Area Paper
approval form presented to the Graduate Director. [Under special circumstances, upon the written recommendation of the student's advisor
and with the approval of the faculty of the department, a student may satisfy the Minor area paper requirement by instead taking a third
course in the Minor area.]
LING 895 and the Minor area paper replace the "comprehensive examinations" held in some departments.
To help ensure satisfactory progress towards the degree, students are required to submit to the Graduate Director a Ph.D. Roadmap once
each semester, completed in consultation with their advisor.
After satisfactory completion of the 895 paper, students are admitted to candidacy and write a proposal for a dissertation, which a faculty
member agrees to supervise. Students enroll in LING 899 while working on the dissertation, and must take at least 12 credits of this course.
The dissertation must make a substantial and original contribution to knowledge. The supervisor, in consultation with other committee
members (selected by the student and the supervisor), determines when there is a draft which will be defended publicly at an oral
examination. The dissertation is approved by a five member examining committee. On completion of the approved dissertation, a hard copy
will be submitted to the department, along with a 2nd hard copy or else an electronic version for the department web page.
Facilities and Special Resources
In addition to university and departmental library facilities, linguists at Maryland have ample office and meeting spaces. The
department has outstanding resources for interdisciplinary research that bridges theoretical, experimental, and
computational linguistics. The Cognitive Neuroscience of Language (CNL) Laboratory has the specific purpose of bridging the
gap between theoretical/computational models of human language and the brain-level mechanisms that support language.
The research in the CNL Lab combines the study of linguistics, cognitive neuroscience, language acquisition and
psycholinguistics, genetic disorders and computational modeling. The CNL Lab is housed in around 5000 sf. of labs and
offices and includes the following:
1 . Event-Related Potentials (ERP) Lab: 1 28-channel Neuroscan ERP facility for recording electrical signals originating in the brain by
measuring electrical activity at the scalp.
2. Magnetoencephalography (MEG) Lab: a 1 60-channel whole-head MEG facility that is used for non-invasive measurements of the magnetic
fields associated with neuronal activity in the brain.
3. Head-mounted Eye Tracking Lab: lightweight eye-tracker suitable for use with children and adults.
4. Fixed Eye Tracking Lab: eye-tracker suitable for on-line studies of reading.
5. Center for Young Children: state-of-the-art on-campus preschool for 3-6 year olds, with testing rooms suitable for study of language
acquisition.
6. Infant Language Lab: for testing infants and young children.
7. Phonetic/Speech Analysis facilities: equipment for generation, recording, manipulation and analysis of speech sounds.
In addition to the facilities available at the CNL Lab itself, Maryland linguists have taken advantage of the many additional research
opportunities in closely affiliated departments and institutions, in particular at the National Institutes of Health (NIH), located in nearby
Bethesda, Maryland. These include fMRI brain imaging, PET brain imaging and TMS (transcranial magnetic stimulation) at NIH, and aphasia
research in collaboration with NIH researchers.
Computational Linguistics
The department also runs two computational linguistics laboratories housing state-of-the art facilities funded by the NSF and DARPA.
The Computational Linguistics and Information Processing (CLIP) laboratories contain state of the art computing facilities and data resources.
Financial Assistance
Initial offers of admission and financial aid are normally made in February-April. Further offers are sometimes made at a
later date, if additional funds become available. In recent years, around 6-8 new students have started the Ph.D. program
each year.
Financial aid (tuition + stipend) is available on a competitive basis. The department aims to provide graduate students with financial aid
(stipend + tuition) during their full course of study (5 years), provided that the student makes satisfactory academic progress. Graduate
funding comes from a number of sources. The Department offers Graduate Assistantships (GAs) and Research Assistantships (RAs). GAs
typically involve teaching service in undergraduate linguistics courses. RA positions typically involve research associated with a grant-
supported faculty research project. Also available are Graduate Fellowships. The University offers a number of these to outstanding
235
applicants, which release the student from GA or RA responsibilities for 1-2 years of study. Other sources of funding are occasionally
available through the Department or University. Also, a number of students come to the Department with funding of their own from external
fellowships.
Fellowships and GAs provide 1 2 and 1 credits of tuition remission respectively per semester. In additions to tuition remission, the Graduate
Assistantship comes with Health benefits. The student is responsible for approximately $340.00 in mandatory student fees per semester.
The Department sets aside a portion of its operating budget to support travel by faculty and graduate students to present papers at
conferences. Any member of the Department can request support for this purpose. Graduate students may also apply for university travel
awards for this purpose.
Contact Information
The Department's web site, Maryland Linguistics , contains a good deal of information on the program, but if you have further
questions about Graduate Study in the Department, you should contact Dr. Jeffrey Lids (jlidz@umd.edu). Alternatively, if you
have a particular interest in the research of an individual faculty member, you may want to contact that person directly via
email.
Dr. Jeffrey Lids
Linguistics Dept, University of Maryland,
1401 Marie Mount Hall, College Park,
MD 20742-7505
Telephone: (301) 405-7002 (301) 405-8220
Fax:(301)405-7104
jlidz@umd.edu
http://www.ling.umd.edu
Courses: LING
Related Programs and Campus Units
Biology
Neuroscience and Cognitive Science
Hearing and Speech Sciences
Computer Science
Second Language Acquisition-Ph.D.
College of Information Studies
Philosophy
Marine-Estuarine-Environmental Sciences (MEES)
Abstract
The specific objective of the university-wide Graduate Program in Marine-Estuarine-Environmental Sciences (MEES) is the
training of qualified graduate students, working toward the M.S. or Ph.D. degree, which have research interests in fields of
study that involve interactions between biological, physical and chemical systems in the marine, estuarine, freshwater or
terrestrial environments. The program comprises six areas of specialization: Ecology, Environmental Chemistry,
Environmental Molecular Biology and Biotechnology, Environmental Science, Fisheries Science, and Oceanography.
Students work with their Research Advisory Committee to develop a customized course of study based on research
interests and previous experience.
Admissions Information
Applications for admission in the fall semester must be filed by February 1 ; if financial assistance is needed, it is better to
apply by December 1 . Students may also be admitted for the semester starting in January, for which the deadline is
September 1 , with July 1 as the preferred deadline for assistance and June 1 as the international applicant deadline.
Applicants must submit an official application to the University of Maryland, along with official transcripts of all previous
collegiate work, three letters of recommendation, and scores on the General Test (aptitude) of the Graduate Record
Examinations. It is particularly important that a student articulate clearly, in the application, a statement of goals and
objectives for future work in the field. Because of the interdisciplinary and interdepartmental nature of the program, only
students for whom a specific advisor is identified in advance can be admitted. Prior communication with the faculty in your
choice area of specialization is highly encouraged.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: February 1
Preferred: December 1
Deadline: September 1
Preferred: July 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Preferred: December 1
Deadline: June 1
236
Application Requirements
1 . GRE General 2. Official transcripts of all college work 3. 3 Letters of Recommendation
Degree Requirements
Doctor of Philosophy (Ph.D.)
Course Work: The student must complete a minimum of 36 credits, with at least 24 credits of course work and 12 credits of
dissertation research. Twelve credits of course work must be at the 600 level or above. Course work completed to fulfill a
Master's degree can be applied against this requirement; a) One seminar course (MEES 608 or equivalent) is required for
each year in residence (on average); b) One approved Statistics course (600 level or higher); c) One graduate course
representing significant interdisciplinary breadth, outside the student's specialization; d) One course or seminar in
management, ethics or philosophy of science.
Examinations: Formal applications for advancement to candidacy for the doctoral degree requires successful completion of
both a Comprehensive Examination written and oral components and an oral Defense of the Dissertation Proposal. The
Comprehensive Examination must be passed before the student can defend the Dissertation Proposal. An Oral Defense of
the Dissertation will be conducted by the Research Advisory Committee and will be administered once all other degree
requirements have been fulfilled.
Master of Science (M.S.)
Course Work: A minimum of 30 credits with 24 credits of course work and 6 credits of graduate research. Of the 24 course
credits, 12 of them must be at the 600 level or higher; including, a) One seminar course (MEES 608 or equivalent) must be
taken for each year in residence (on average); b) One approved Statistics course (400 level or higher); c) One graduate
course representing significant interdisciplinary breadth, outside the student's specialization; d) One course or seminar in
management, ethics or philosophy of science.
Thesis Defense: An Oral Defense of the Thesis, administered according to Graduate School regulations, will take place at
the completion of the research project. This defense will be conducted by the Research Advisory Committee and will be
administered once all other degree requirements have been fulfilled.
Facilities and Special Resources
Students may conduct their research in the laboratories and facilities of the College Park (UMCP), Baltimore (UMB),
Baltimore County (UMBC), or Eastern Shore (UMES) campuses, in one of the laboratories of the University's Center for
Environmental Studies (UMCES): the Chesapeake Biological Laboratory (CBL) at Solomons, Maryland; the Horn Point
Laboratory (HPL) near Cambridge, Maryland; and the Appalachian Laboratory (AL) in Frostburg, Maryland; or at the Institute
of Marine and Environmental Technology (IMET) in Baltimore. CBL and HPL are located on the Chesapeake Bay. They
include excellent facilities for the culture of marine and estuarine organisms. Berthed at CBL are the University's research
vessels. At HPL there are extensive marshes, intertidal areas, oyster shoals, tidal creeks, and rock jetties. AL, located in the
mountains of western Maryland, specializes in terrestrial and freshwater ecology. On the campuses and at IMET are
specialized laboratory facilities for environmental research, including microbiology; biotechnology; water chemistry; cellular,
molecular, and organismal biology; and specialized facilities for remote sensing of the environment. Extensive field sites for
environmental research are available through the University's agricultural programs and through cooperation with many
other organizations in the state.
Financial Assistance
University fellowships, research assistantships and traineeships, and teaching assistantships are available. In general, aid
provides for full living and educational expenses. Some partial assistance may also be available. Research support from
federal, state, and private sources often provides opportunities for additional student support through either research
assistantships or part-time employment on research projects.
Contact Information
Dr. Kennedy T. Paynter, Jr., Director
0105 Cole Student Activities Building,
University of Maryland
College Park, MD 20742
Telephone: (301) 405-6938
Fax:(301)314-4139
mees@umd.edu
http://www.mees.umd.edu/
Courses: MEES
Related Programs and Campus Units
Biological Sciences
Entomology
Sustainable Development and Conservation Biology
Masters of Chemical and Life Sciences (CLFS)
237
Abstract
The Master of Chemical and Life Sciences is an online content-based master's program for high school science teachers
that provides in depth knowledge of current research areas in the biological, biochemical and biomedical sciences. The
courses cover subject matter as diverse as genetic engineering and gene therapy to chemistry, ecology and the concepts of
biocomplexity. University faculty who are experts in the field will lead discussion sessions on topics of current interest with
significant social impact. Topic examples include the positive and negative aspects of genetically engineered foods and their
safety , the development of new energy sources and the ethical and moral issues involved in cloning and the handling of
genetic information. The program also provides a set of laboratory experiences that facilitates the presentation of many of
these concepts in the classroom. Aside from the laboratory experiences, all courses will be offered exclusively through
distance education as online courses. Our infrastructure provides a web based asynchronous program. Teachers who
desire to update and advance their knowledge or who must complete an advanced degree or graduate courses, will find that
this program meets their needs. In addition to our general program we offer focused Areas of Concentration in Biology and
in Chemistry. During the course of studies towards a degree students may earn Credentials by taking a series of focused
courses.
Admissions Information
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
In addition to a suitable undergraduate education and experience admission to the degree program requires the successful
completion of either CLFS 510, Concepts of Modern Biology, or CLFS 520, Concepts in Modern Chemistry, gateway review
classes; or a passing grade of B or better on either of the admissions exams based on CLFS 510 and CLFS 520. Suitable
GRE scores will also be accepted to satisfy admission requirements (GRE scores are not required!). Upon application and
the submission of documentation all applicants will be granted Provisional Admission to the program while they satisfy other
admission requirements
Degree Requirements
MASTER OF CHEMICAL AND LIFE SCIENCES (MCLFS)
Students with a thorough and up to date understanding of biology or chemistry, and who are admitted without condition*,
may elect to take the appropriate Admission Exam. The Admission Exams are generally based on the content of CLFS 510,
Concepts in Modern Biology or CLFS 520, Concepts in Modern Chemistry. Students who feel that they can benefit from a
review may take CLFS 510, Concepts in Modern Biology or CLFS 520, Concepts in Modern Chemistry. A passing grade (B)
on either the Admission Exam or CLFS 51 0/520 is sufficient for admission to the MCLFS program as a degree-seeking
student. *Students with undergraduate grade point averages below 3.0, who have not previously demonstrated superior
performance in graduate courses, will be required to take CLFS 510 or CLFS 520. (Note: as a 500-level course this cannot
be used to meet the credit requirements of the MCLFS program.) Students may take individual courses in the MCLFS
program as Advanced Students. Up to 12 credits may be taken in this way. A maximum of six credits from other institutions
may be transferred in with approval of the Director. (See: Transfer Form) The program's curriculum consists of 30 credit
hours selected from the list below (not including CLFS 51 or CLFS 520). Included in the 30 hours are 6 credits of CLFS
710, Experimental Biology, or CLFS 720, Experimental Chemistry, or the equivalent, and the completion of a scholarly
paper. No more than six hours of CLFS 608 Seminar credits may be counted towards the required 30 credits.
Financial Assistance
FINANCIAL AID IS AVAILABLE
Dr. Paul Mazzocchi Professor Emeritus,
pmazzocc@umd.edu
Director, Master of Chemical and Life Sciences
http://www.clfs.umd.edu/grad/mlfsc/
Courses:
Mathematical Statistics (STAT)
Abstract
The Statistics Program offers the Master of Arts and Doctor of Philosophy degrees for graduate study and research in
statistics and probability. Areas of faculty research activity include statistical decision and estimation theory, biostatistics,
stochastic modeling, robust and nonparametric inference, semiparametric inference, categorical data analysis, theory and
inference for stochastic processes, stochastic analysis, time series and spatial statistics. Students may concentrate in
applied or theoretical statistics by selecting an appropriate sequence of courses and a research area to form an individual
238
plan of study. The Program has been designed with sufficient flexibility to accommodate the student's background and
interests. The Program also offers students from other disciplines an opportunity to select a variety of statistics courses to
supplement their own study.
The Program is administratively affiliated with the Department of Mathematics, which maintains the records of all students in
the Mathematical Statistics Program and handles correspondence with those applying for admission. However, any
application for admission must indicate clearly that the student wishes to enter the Statistics (STAT) Program.
Employment prospects for statisticians are very good. All recent M.A. and Ph.D. graduates of Maryland's Statistics Program
have found jobs in academia and government.
Admissions Information
In addition to the Graduate School requirements, applicants with at least a B average (3.0 on a 4.0 scale) should have
completed an undergraduate program of study that included a strong emphasis on rigorous mathematics or statistics.
Mathematical preparation at least through the level of advanced calculus will normally be considered sufficient
demonstration of the expected mathematical background. In special cases, students may be provisionally admitted without
having fulfilled the general admission requirements if they can demonstrate potential success in the Program through other
criteria. The General Graduate Record Examination is required for admission, and the applicants must supply the scores.
The GRE subject examination in Mathematics is recommended.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: May 1
Preferred: January 15
Deadline: October 1
Preferred: September 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Preferred: January 15
Deadline: June 1
Application Requirements
1. GRE General (required)
2. GRE Math (recommended)
3. 3 Letters of Recommendation
Degree Requirements
Doctor of Philosophy (Ph.D.)
The M.A. degree is not required for admission to the Ph.D. program. A doctoral student must complete a minimum of 36
hours of formal courses (at least 27 at the 600/700 level) with an average of B or better; at least 18 of the graduate credits
must be taken in Statistics. In addition, the university requires at least 12 hours of STAT 899 (Doctoral Research). The Ph.D.
student must take written examinations in Probability, Mathematical Statistics, and a third exam in Applied Statistics or any
field of mathematics. These examinations are given by the Mathematics Department twice a year in January and August. A
student may take one or more examinations at a time. The student must pass two examinations by the end of his or her third
year in the graduate program, and must pass all three by the end of the fourth year. Most full-time students pass all three
examinations by the end of the second year or middle of the third year. If successful in the written examinations, the student
must pass an oral examination. Administered by the Statistics faculty, the oral examination usually takes place a year after
the student passes the written examination. This examination serves as a test of the student's in-depth preparation in the
area of specialization and the student's research potential. Successful completion of the oral exam indicates that the student
is ready to begin writing the doctoral dissertation. In addition, the Department requires a reading competence in one foreign
language for the Ph.D. The student may select one of three languages: French, German or Russian. Administered and
graded by the Mathematics Department, the language examination consists of translating foreign mathematical texts into
competent English. To be admitted to candidacy, the Ph.D. student must pass the written examinations and the oral
examination and the language examination must be completed before the candidate's final oral examination on the
dissertation.
Master of Arts (M.A.)
The M.A. degree program offers both thesis and non-thesis options; the students are encouraged to choose the latter. For
the non-thesis option, a student must complete 30 credit hours with at least a B average; at least 18 of these credits must be
at the graduate level (600/700 level) and at least 12 of the graduate credits must be in Statistics (STAT). The student must
also pass the Mathematics Department written examinations in Probability, Mathematical Statistics and one more area, such
as Applied Statistics or any field of mathematics. The student may take either the separate M.A. written examinations or the
Ph.D. written examinations, which require a lower score to pass. In order to earn the M.A. degree with the non-thesis option,
the student must pass two examinations by the end of his or her third year in the graduate program, and must pass all three
at the M. A. level or two at the Ph. D. level by the end of the fourth year. A student may take one or more examinations at a
time. Most full-time students pass all three examinations by the end of the second year or middle of the third year. The
student must also submit a satisfactory short scholarly paper.
For the thesis option, a student must: (1) complete 24 credit hours with at least 15 at the 600/700 level (of these 15 hours, at
least 12 hours must be in Statistics); (2) maintain an average grade of B or better; (3) take six hours of STAT 799
(Research) in addition to (1); (4) write a satisfactory thesis; and (5) pass a final oral examination. There is no foreign
language requirement for M.A. students.
239
The applicants should have in mind that no financial aid is offered to M. A. students.
Facilities and Special Resources
The STAT Program cooperates closely with the Mathematics Department and the Applied Mathematics and Scientific
Computation (AMSC) Program. The Program's faculty are actively involved in research in applied and theoretical areas of
statistics and maintain close ties with applied scientists in several federal agencies.
The Program sponsors a weekly statistics seminar. In addition, faculty-student workshops cover topics of current statistical
interest.
Computing is integrated into the applied courses, and the Program also offers a course "Computational Methods in
Statistics"
By scheduling many of its applied and Master's level courses in late-afternoon time slots, the Program facilitates and invites
part-time graduate study.
Financial Assistance
Graduate assistantships are awarded to Ph. D. students in the Statistics Program through the Mathematics Department. At
present, the teaching load is six hours each semester, in addition to the duties of meeting with students and grading papers.
There are 15 graduate students in statistics with financial support. These are mostly teaching assistantships, but there are
also a few research assistantships and fellowships. From time to time advanced students are placed into research
assistantships as data analysts or statistical consultants with other campus units such as the Statistics Laboratory, run jointly
by the Statistics Program and the Computer Science Center. Applications for financial aid are only processed once a year,
for admission for the fall semester.
Contact Information
In addition to brochures and publications of the Mathematics Department, which include information about statistics faculty
and graduate courses, the Statistics Program offers a brochure, "Educational Policies of the Mathematical Statistics Program" .
Prof. Abram Kagan, Director
Mathematical Statistics Program
1107 Mathematics Building
University of Maryland
College Park
MD 20742-4015
Telephone: (301) 405-5061
Fax:(301)314-0827
statgrad@deans.umd.edu
www . stat . umd . edu
Courses: STAT
Related Programs and Campus Units
Mathematics
Applied Mathematics & Statistics, and Scientific Computation
Mathematics (MATH)
Abstract
Three programs are currently closely affiliated with the Mathematics Department: the Mathematics Program (MATH), the
Applied Mathematics and Scientific Computation Program (AMSC), and the Mathematical Statistics Program (STAT).
Students applying for admission should use the appropriate symbol to indicate their program of interest. The interdisciplinary
Applied Mathematics and Scientific Computation Program offers two concentrations, one in applied mathematics and one in
scientific computation. The Statistics Program is concerned with mathematical statistics and probability. The AMSC and
STAT programs are described in detail elsewhere in this catalog.
Students can earn Master of Arts and Doctor of Philosophy degrees in the Mathematics Program. The master's degree is
not required for entrance to the Ph.D. program.
The Mathematics Program offers graduate programs in algebra and algebraic geometry, complex analysis, dynamical
systems and chaos, geometry, harmonic analysis, mathematical logic, number theory, numerical analysis, ordinary
differential equations, partial differential equations, probability, real and functional analysis, representation theory, statistics
and topology.
Admissions Information
Admission is granted to applicants who show promise in mathematics as demonstrated by their undergraduate record.
Unless courses in advanced calculus and (undergraduate) abstract and linear algebra have been taken, admission may be
on a provisional basis (conditioned on passing MATH 410, 403, and/or 405 with a grade of B). Both the Subject Test and the
General Test of the Graduate Record Examination are required for admission.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Deadline: May 1
Deadline: October 1
240
Type of Applicant
Fall
Spring
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Preferred: January 15
Preferred: September 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Preferred: January 15
Deadline: June 1
Preferred: June 1
Application Requirements
GRE General, GRE Mathematics, 3 letters of recommendation, and advanced courses form
Degree Requirements
Doctor of Philosophy (Ph.D.)
The Ph.D. program does not require an M.A. degree, but applicants who are accepted should show, on the basis of their
undergraduate record and recommendations, that they possess not only marked promise in mathematical activities but the
potential to perform on a creative level. Like the M.A. program, admission may be granted on a provisional basis.
Students in the Ph.D. program must complete a minimum of 36 hours of formal coursework (at least 27 at the 600/700 level)
with an average grade of B or better; at least 18 hours must be taken in the Department of Mathematics. In addition, the
university requires at least 12 hours of MATH 899 (Doctoral Research). Ph.D. students must pass Departmental written
examinations in three subfields of mathematics. The purpose of the written qualifying exams is to indicate that the student
has the basic knowledge and mathematical ability to begin advanced study. Passing the exams is thus supposed to certify
understanding of (selected) first-year graduate material. These examinations are given twice a year, in January and August.
A student may take one or more examinations at a time. All three examinations must be passed by January of the student's
third year in the graduate program. If successful in these written examinations, students must do advanced reading and
coursework in their special area of interest before they can be admitted to candidacy and begin dissertation research. The
dissertation must represent an original contribution to mathematical knowledge and is usually published in a mathematical
journal.
Generally Ph.D. students spend about six years before obtaining the degree. The combined programs of mathematics,
applied mathematics and statistics award an average of 18 Ph.D.'s each year. The Ph.D. program has a foreign language
requirement. Before a student can schedule the Final Oral Examination, he or she must pass a written examination in either
French, German or Russian. The language examinations are composed and graded within the Department and involve
translating a passage from a mathematical text into competent English.
Master of Arts (M.A.)
The M.A. degree program offers both a thesis and non-thesis option; most students choose the latter. The non-thesis option
requires students to take 30 credit hours with an average of at least a B. At least 1 8 credits must be at the 600/700 level,
including at least 12 hours in mathematics. Additionally, students must complete two full-year sequences at the 600/700
level; either pass Departmental written examinations in three different mathematical fields at the Master's level, or pass two
exams in different mathematical fields at the PhD level; and write a scholarly paper.
The thesis option requires a total of 24 hours of courses carrying graduate credit of which at least 15 are at the 600/700
level. Of these 15 hours at least 12 must be in mathematics. Of these 12 hours, at least 3 hours must be in each of two
fields of mathematics distinct from the one in which the thesis is written, and must be passed with a grade of B or better. The
student must also take 6 hours of thesis research, write a satisfactory thesis, and pass a final oral examination.
The M.A. degree includes no foreign language requirement. Generally it takes two to three years to earn the M.A., and
approximately 20 degrees are granted each year in mathematics (MATH, STAT, and AMSC combined).
The department also has a 5-year program to earn a combined M.A./B.S. degree. The requirements for this program include
the requirements for both the B.S. degree and the M.A. degree, with 9 hours of overlapping credits. Either the thesis or non-
thesis option for the M.A. degree is available in this program.
Facilities and Special Resources
The Department is actively involved in research in a number of areas, strengthened further by a complement of
mathematicians from the Institute for Physical Science and Technology. The Department fosters a lively program of
seminars and colloquia; about half of these talks are given by outside specialists. In addition the department has a tradition
of hosting distinguished long term visitors who give series of seminar talks or teach semester long courses.
The Engineering and Physical Sciences Library is located on the ground floor of the Mathematics Building and contains
more than 95,000 volumes in mathematics, physics and engineering, and more than 280 journals in pure and applied
mathematics. The Library of Congress, with its extensive collection of books and technical reports, is only a half hour from
campus.
The Department has a large network of computers mostly running Linux. The Department houses a computer classroom
and a Mathematical Visualization Lab, and similar labs are scattered across campus. There are computers in almost all
graduate student offices, and many of the other computers on campus are available for student use.
The Department cooperates closely with the Institute for Physical Science and Technology and with the Department of
Computer Science. Faculty members of both groups offer courses in the Department, and the facilities of the computer
center are available to serve the research needs of both faculty and graduate students. Members of the Department
participate actively in the interdisciplinary Applied Mathematics and Scientific Computation Program, and they also staff the
Mathematical Statistics Program.
241
Financial Assistance
The MATH program is expecting to support between 15 and 20 new doctoral students each Fall. Offers of support are
generally made for up to five years, contingent on the student making satisfactory academic progress. Except for unusual
circumstances, offers of financial aid will not be made to applicants seeking a Master's degree. The normal teaching load is
four to six hours per week of classroom teaching in addition to the duties of meeting with students and grading papers.
Sometimes fellowships and research assistantships are also available.
Contact Information
More information about the Mathematics Graduate Program is available at www.math.umd. edu/graduate/ , and
information about admissions is available at www .math, umd. edu/graduate /prospective/ .
For questions regarding Departmental programs, admission procedures, and financial aid, contact:
Ms. Celeste Regalado, Program Coordinator
1112 Mathematics Building
University of Maryland
College Park
MD 20742-4015
Telephone: (301) 405-5058
mathgrad@deans.umd.edu
http://www.math.umd.edu/graduate/
Courses: MATH
Related Programs and Campus Units
Engineering: Systems Engineering
Applied Mathematics & Statistics, and Scientific Computation
Mathematical Statistics
Institute for Physical Sciences and Technology (IPST)
Center for Scientific Computation and Mathematical Modeling
Mathematics of Advanced Industrial Technology (MAIT)
Abstract
The Norbert Wiener Center, a research and educational unit in the Department of Mathematics at the University of
Maryland, College Park, offers a professional Master's degree focusing on the modern mathematical methods and
algorithms that underlie today's cutting-edge engineering: The Mathematics of Advanced Industrial Technology (MAIT).
Our program is designed for individuals working in mathematical engineering who are looking for a fast track to
understanding and applying the most up-to-date ideas in their current and future projects. Undergraduate degree holders
can advance to the Masters level, and Master's degree holders can advance their applicable skills.
In addition to the professional Master's degree, we also offer two certificate programs. For students wishing to enhance their
career skills in specific subject matter, the Center also offers a Graduate Certificate in Mathematics of Advanced Industrial
Technology to students completing 4 courses (12 credits) within the program. The Norbert Wiener Center also offers a
specific Graduate Certificate concentration in Computational Harmonic Analysis. This 12-credit program is tailored to
working engineers and scientists wishing to advance their understanding of the latest Fourier, Wavelet, and Time-Frequency
Harmonic Analysis methods and algorithms.
Fields including RF and Optical Communications, Signal and Image Processing, Sensor Networks, RADAR and SONAR,
Navigations and Avionics, Medical Imaging and Diagnostics, Control Systems, and Robotics, increasingly rely on fast,
embedded mathematical algorithms executing on the latest microprocessors, micro-controllers, and DSP cores. Budding
fields such as Bioinformatics, Nanotechnology, Data Mining, and Quantum Computing are likewise being built from the
ground up around modern mathematical methods. Engineers and scientists that understand advanced mathematical
toolsets will have the edge in creating tomorrow's technologies.
The Norbert Wiener Center's educational mission is to teach the mathematics of modern engineering in an accessible and
applicable manner. Our faculty is drawn from both academia and industry in order to balance theoretical and "hands on"
approaches in the most constructive way. Our courses offer the latest information while tying modern theory directly to
application by incorporating industry standard tools. Graduates of the Norbert Wiener Center will be well equipped to apply
the latest mathematical tools to advance both their projects and their careers.
The most up-to-date information about the MAIT program can be found on our website at www.mait.umd.edu
Admissions Information
THIS PROGRAM IS NOT ACCEPTING NEW STUDENTS AT THIS TIME.
Students entering the program should hold a regionally accredited baccalaureate degree in Mathematics, Engineering,
Physics, or a related technical field. Mathematical background should include Calculus, Differential Equations, and Linear
Algebra, as well as experience and/or coursework in one or more of the following areas: Scientific Computing, Digital Signal
Processing, Numerical Analysis, Boundary Value Problems, Fourier methods, Complex Variables. MAIT also offers
preadmission classes to help interested students fulfill these requirements.
Application Deadlines
242
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
THIS PROGRAM IS NOT ACCEPTING NEW STUDENTS AT THIS TIME
Students entering the program should hold a regionally accredited baccalaureate degree in Mathematics, Engineering,
Physics, or a related technical field. Mathematical background should include Calculus, Differential Equations, and Linear
Algebra, as well as experience and/or coursework in one or more of the following areas: Scientific Computing, Digital Signal
Processing, Numerical Analysis, Boundary Value Problems, Fourier methods, Complex Variables. MAIT also offers
preadmission classes to help interested students fulfill these requirements.
Degree Requirements
Certificate in Computational Harmonic Analysis (Certificate)
The Norbert Wiener Center offers a specific Graduate Certificate concentration in Computational Harmonic Analysis. This
12-credit program is tailored to working engineers and scientists wishing to advance their understanding of the latest
Fourier, Wavelet, and Time-Frequency Harmonic Analysis methods and algorithms. The program will include the following
courses: MAIT 633 Applied Fourier Analysis; MAIT 623-624 Modern Mathematical Methods of Signal and Image Processing;
and a fourth elective selected with the approval of the student's advisor. Coursework must be completed with a GPA of 3.0
or higher.
Master of Mathematics of Advanced Industrial Technology (MS)
The Master of Mathematics of Advanced Industrial Technology (MAIT) degree requires 10 classes (30 credits) to be
completed with a GPA of 3.0 or higher. Coursework must include 3 core subjects (MAIT 613 Advanced Applied Linear
Algebra, MAIT 623 Modern Mathematical Methods of Signal and Image Processing I, and MAIT 633 Applied Fourier
Analysis), as well as electives chosen from a host of options. Coursework also must include a one or two-semester practical
project course under the guidance of a faculty member. The project course may be employer-work related. The student's
faculty advisor must approve program coursework.
Certificate in Mathematics of Advanced Industrial Technology (Certificate)
For students wishing to enhance their career skills in specific subject matter, the Center also offers a Graduate Certificate in
Mathematics of Advanced Industrial Technology to students completing 4 courses (12 credits) within the program.
Coursework will include at least 2 of the core subjects and 2 listed electives to be completed with a GPA of 3.0 or higher.
Facilities and Special Resources
Courses for the MAIT program will be taught in the evening at the College Park Campus and also at sites in northern
Virginia. The MAIT program is administered by the Norbert Wiener Center for Harmonic Analysis and Applications which is
located within the Mathematics department on the second floor of the Mathematics building on Campus Drive in College
Park.
Financial Assistance
Contact Information
Additional information can be found on the MAIT web site at www.mait.umd.edu A brochure describing the program is
available from the program office or from the web site in electronic form (*.pdf).
Program Coordinator
Suite 221 1 , Department of Mathematics, University of Maryland, College Park
MD 20740
Telephone: (301) 405-5158
Fax:(301)314-6710
mait@math.umd.edu
http://www.mait.umd.edu
Courses:
Modern French Studies (FRMS)
Abstract
The Ph.D. in Modern French Studies encompasses the Renaissance to the present. The diversity of the Graduate Faculty
makes it possible for students to specialize in a wide variety of areas in French language, literature, and culture. The
department is part of a larger School of Languages, Literatures and Cultures that encourages and facilitates interdisciplinary
scholarship, particularly in Film Studies and in Cultural Studies. Through consortia arrangements with universities in the
area, including George Washington University and Georgetown University, students may augment their programs with
courses otherwise unavailable at the University of Maryland.
243
Admissions Information
Application requirements for the Ph.D. program include: 1) Graduate School application, 2) statement of purpose (including
research interests), 3) three letters of recommendation, 4) official academic transcripts for all undergraduate and graduate
work, 5) GRE scores, 6) a writing sample, and 7) a resume or Curriculum Vitae. International applicants must also submit
TOEFL scores.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Preferred: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Preferred: February 1
Application Requirements
• Graduate School Application
• GRE Scores
• 3 Letters of Recommendation
• Writing Sample
• Statement of Purpose
• Resume or Curriculum Vitae
• Official Transcripts
• TOEFL Scores (for International Applicants>
Degree Requirements
Doctor of Philosophy (Ph.D.)
Ph.D. students are required to take for credit a minimum of 8 courses beyond the M.A. at the 600-level or above. Before
being advanced to candidacy, they must take a two-part comprehensive exam (written and oral), and submit a written
dissertation prospectus in which they demonstrate sufficient knowledge of the relevant scholarship and outline their
research. This prospectus is defended in front of a committee comprised of three faculty members from the department.
Ph.D. candidates then go on to write and defend a dissertation that explores significant questions about French literature
and culture, past or present. All Ph.D. students are required to demonstrate a sound reading knowledge of one other foreign
language in addition to French. A student having a recognized degree or diploma in a subsidiary area such as Music,
Economics, Political Science, etc., and who plans to make substantial use of this body of knowledge for the dissertation may
be permitted, with the approval of the Graduate Programs Committee, to substitute such degree or diploma for the additional
foreign language requirement. All requirements for the Ph.D. degree, except the dissertation, must be completed within five
years of admission to the program. The dissertation must be completed no more than four years after advancement to
candidacy. (See Department Website for additional information)
Facilities and Special Resources
With a total student enrollment of over 35,000, the University of Maryland is supported in its academic endeavors by the
University Libraries, a system of eight libraries and more than three million volumes. Other area research facilities include
two of the world's outstanding libraries: the Library of Congress and the Folger Library, both of which have extensive
holdings in French. The School of Languages, Literatures and Cultures, the Women's Studies Program, and the David C.
Driskell Center For The Study of The Visual Arts and Culture of African Americans and The African Diaspora, among other
campus units, offer seminars, lectures, and symposia on a wide variety of topics relevant to graduate students in French.
Financial Assistance
Graduate applicants can request to be considered for Teaching Assistantships and Graduate Fellowships. Graduate
Teaching Assistantships carry ten-month stipends, plus remission of all fees (10 credits) other than those for registration and
health facilities.
Contact Information
Additional information on program offerings, degree requirements and financial aid can be obtained on the department's
Web site (http://www.languages.umd.edu/Frenchltalian) and by writing to:
Director of Graduate Studies in French
3215 Jimenez Hall
University of Maryland College Park
MD 20742
Telephone: (301) 405-4024
http://www.languages.umd.edu/Frenchltalian
Courses: FREN
244
Music (MUSC)
Abstract
The UM School of Music offers programs of study leading to the Master of Music degree with areas of specialization in
performance, composition, conducting and music education; the Master of Arts degree with areas in ethnomusicology, music
history and literature (musicology), music education, and music theory; the Doctor of Philosophy degree with areas of
specialization in ethnomusicology, musicology, and music theory; and the Doctor of Musical Arts degree with areas of
specialization in composition, performance, and conducting. A Doctor of Philosophy degree in Curriculum and Instruction is
offered by the College of Education in cooperation with the Music Education Division of the School of Music.
Admissions Information
Admission to graduate degree programs in music is highly selective. It is determined primarily by a performance audition,
tapes and scores of original compositions, scholarly research papers, letters of recommendation, and/or successful teaching
experience; additionally, in some academic areas, the general GRE scores are considered. All non-native English-speaking
students (including students with prior United States degrees) must achieve a score of 575/233/100 on the TOEFL to be
invited for audition/admission.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: December 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 1
Application Requirements
1. GRE General for Ethnomusicology and Historical Musicology
2. 3 Letters of Recommendation
3. Audition/Interview
4. Repertoire/List of Performances
5. Research paper for Ethnomusicology and Historical Musicology
6. Scores for Composition
7. Pre-screen recordings for flute, collaborative piano, trumpet, vocal, and applicants. Please see our website, www.music.umd.edu, for
further information.
8. We require a passing TOEFL score (minimum 100 IBT, 233 CBT, 575 PBT) for all international applicants before we will process your
application or consider you for a live audition.
Degree Requirements
Master of Music or Master of Arts (M.M.; M.A.)
The Master of Music Degree (Non-Thesis Option in Composition, Conducting, Music Education, or Performance) requires a
minimum of between 31 and 36 credit hours depending on the specific program. Required coursework is distributed among
three areas of study: Major studies, Studies in Areas Supporting the Major, and Other Studies in Music. In addition, a grade
of B or better is required in all courses used to fulfill requirements for the degree; a scholarly research paper must be written
as part of MUSC 648 Seminar in Music Research or MUED 690 Research Methods; a Final Project must be completed
satisfactorily; and an oral comprehensive examination of courses required in Major Studies and in Studies in Areas
Supportive of the Major must be passed. Specific courses are required in each area of specialization.
The Master of Arts Degree (Thesis Option in Ethnomusicology, Music Education, Music History and Literature [Musicology],
or Music Theory; Non-Thesis Option in Ethnomusicology) requires a minimum of 30 credit hours (35 for Ethnomusicology),
with a minimum of 12 credit hours in Major Studies, 9 credit hours in Studies in Areas Supportive of the Major (14 for
Ethnomusicology), and 9 credit hours in Other Studies in Music. In addition, a grade of B or better is required in all courses
used to fulfill requirements for the degree; a Thesis must be written (Ethnomusicology Non-Thesis Option requires two
scholarly research papers), an oral defense of the Thesis (or research papers) must be passed; and a written
comprehensive examination must be passed. Specific courses are required in each area of specialization.
Doctor of Philosophy or Doctor of Musical Arts (Ph.D.; D.M.A.; Ed.D.)
The Doctor of Philosophy and the Doctor of Musical Arts degrees require the satisfactory completion of a significant body of
coursework that, in the student's and Graduate Advisor's judgment, prepares the student for the preliminary examination that
leads to admission to candidacy, as well as certain specific courses required in each area of specialization. A dissertation
(whether written, or in project form) is required for all doctoral degrees in music. A Principal Advisor for the dissertation will
be chosen by the student and the academic advisor; the Principal Advisor and the student will then nominate the remaining
members of the dissertation committee. The student must submit a detailed Prospectus of the dissertation to the members
of the dissertation committee and the Graduate Director, and must be admitted to candidacy prior to the approval of the
dissertation committee by the Graduate School. The dissertation must be successfully defended before the entire
dissertation committee. The Doctor of Philosophy degree requires a Written Dissertation; the Doctor of Musical Arts degree
requires a Written Dissertation, a Recording Project, a Performance Project, or a Musical Composition.
245
Facilities and Special Resources
The music library in Clarice Smith Performing Arts Center ranks among the top twenty university music libraries in the United
States, and it offers a variety of archives, special collections, and other research resources which give it international stature
among scholars in a broad spectrum of music disciplines. The total music collection includes approximately 50,000 books,
150,000 scores, 140,000 recordings, and 4,500 linear feet of archival materials.
The International Piano Archives at Maryland (IPAM) is the only institutional collection in existence devoted to historic piano
performance. IPAM contains 40,000 recordings, 8,500 music scores, 2,500 books, and a collection of reproducing pianos
with 8,000 piano rolls. To date IPAM has acquired the collections of more than 40 eminent pianists. The Special Collections
in Music embrace a growing number of national and international music organization archives representing music education,
band history, solo and ensemble instrumental performance, music librarianship, and ethnomusicology. Materials in these
archives include papers, music scores, recordings, books, magazines, photographs, and oral histories. The library also
features important archival and manuscript collections on music criticism and American music, the Charles Fowler Papers
supporting the study of arts education, a significant Leopold Stokowski Collection, the Jacob Coopersmith Collection of
Handeliana, the Radio Station WOR/Alfred Wallen stein Collection of 26,000 orchestral scores, and the performance parts of
the Andre Kostelanetz Orchestra. Also located at The University of Maryland is The Center for Studies in Nineteenth-
Century Music. Other research activities of the School of Music include the C. P. E. Bach Edition and the American Handel
Society. Within a few miles of the College Park campus are research opportunities offered by Dumbarton Oaks, the Enoch
Pratt Free Library of Baltimore, the Folger Shakespeare Library, the Library of Congress, the National Archives, the
Smithsonian Institution, and about 500 specialized libraries.
The School of Music presents a wide variety of student and faculty solo and ensemble recitals and concerts, including those
of the internationally recognized Guarneri String Quartet, which is in residence at College Park and whose members hold
professorial rank. The School of Music also cooperates with the Concert Society at Maryland which presents a series of
concerts throughout the academic year and, during the summer, The University of Maryland International Competitions
honoring Marian Anderson (Vocal Arts), William Kapell (Piano), and Leonard Rose (Cello), as well as the National
Orchestral Institute. The University sponsors a Handel Festival featuring the University of Maryland Chorus and scholars
and performers from around the world. The musical environment of the entire Washington-Baltimore area is unusually varied
and rich with performances at the John F. Kennedy Center for the Performing Arts, Constitution Hall, the National Gallery of
Art, the Phillips Collection, the Library of Congress, Wolf Trap Farm Park, Smithsonian Institution, the Corcoran Gallery of
Art, and the Joseph Meyerhoff Symphony Hall in Baltimore.
Financial Assistance
A number of competitive fellowships, graduate assistantships, teaching assistantships, operatic assistantships, and
orchestral assistantships are available. Preference for financial assistance will be given to those who have filed an
application for admission to the University and the School of Music Supplemental Application by December 1 (for
performance programs) and January 15 (for Music Education only) and have been officially admitted.
Contact Information
School of Music: Graduate Programs handbook (available online at:
http://www.music.umd.edu/current_students/handbooks) provides descriptive information, details of course requirements,
examination procedures, and graduation requirements for the M. A., M. M., D. M. A., and Ph. D. degree programs.
International students should read the information contained in the International Applicants section of the Graduate
Admission Application. Specific information may also be obtained from:
Deborah Kuckuda, Graduate Student Services or
Ms. Jenny Lang, Assistant Director for Admissions and External Relations, or
Mr. David Powell, Admissions Coordinator
21 1 Clarice Smith Performing Arts Center
College Park
MD 20742
Telephone: (301) 405-8435
Fax:(301)314-7966
musicadmissions@umd.edu
http://www.music.umd.edu
Courses: MUSC MUSP MUED MUET
Related Programs and Campus Units
Clarice Smith Performing Arts Center
College of Arts and Humanities
Neuroscience and Cognitive Science (NACS)
Abstract
The NACS program offers a wide range of research and training opportunities for students who are interested in pursuing
doctoral-level research in a variety of areas within neuroscience and cognitive science. Faculty research interests extend
from molecular and cellular neuroscience to studies of language and cognition. Research approaches include both the
theoretical and experimental, with several laboratories doing both. The experimental work includes cutting-edge
methodologies; the theoretical includes mathematical, computer, and engineering studies. Research and training activities of
246
NACS take place within the laboratories of faculty in 20 participating departments and units: Aerospace Engineering, Animal
and Avian Sciences, Bioengineering, Biology, Computer Science, Electrical and Computer Engineering, English,
Entomology, Hearing and Speech Sciences, Human Development, Kinesiology, Linguistics, Nutrition and Food Science,
Philosophy, Psychology, and Public & Community Health, as well as the Center for Advanced Study of Language, the
Institute for Advanced Computer Studies, the Institute for Systems Research, and the Second Language Acquisition
program. The NACS program requires the completion of two required core courses and three out of four core courses,
including cognitive neuroscience, computational neuroscience, cellular and molecular neuroscience, and cognitive science.
The goal of the Program is to bring together the diverse perspectives and strengths of all the included disciplines in order to
understand the working of the nervous system, the mind, and behavior. For more information, please visit our web
site: http://www.nacs.umd.edu .
Admissions Information
Admission to the NACS Program requires a bachelor's degree from a recognized undergraduate institution. Course work in
calculus is strongly recommended, as is some background in neuroscience, computational science, or cognitive science.
Students with strong academic records but missing relevant coursework will be allowed to make up deficiencies. The
Program requires the Graduate Record Examination scores; transcripts; statement of goals, research interests, and
experiences; and three letters of recommendation.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: December 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 15
Application Requirements
1. GRE General
2. Statement of goals, research interests, and experiences
3. Transcripts
4. 3 Letters of Recommendation
Degree Requirements
Doctor of Philosophy (Ph.D.)
The NACS Program emphasizes research training and thus requires only 27 credits of course work over the first two years.
Specific requirements include two core courses-a scientific ethics course and an introduction to neurosciences course--and
three out of four core courses from among cognitive neuroscience, computational neuroscience, cellular and molecular
neuroscience, and cognitive science. A formal qualifying examination is given at the beginning of the third year to ensure
that all students have a core knowledge of basic neuroscience and cognitive science, and that each student has the
knowledge and skills necessary to develop a dissertation proposal. By the end of their fourth year, students formally present
their dissertation proposal and are admitted to candidacy. The dissertation is normally completed within two years of the
proposal defense.
Facilities and Special Resources
The Program, by virtue of its breadth, has access to the facilities of all the departments, institutes, and centers of its faculty
members. These include the Institute for Systems Research, the Institute for Advanced Computer Studies, the Center for
Advanced Study of Language, and the various well-equipped research laboratories and department facilities of the faculty.
Animal facilities are available where necessary. NACS has developed a very close collaboration with the National Institute of
Deafness and Other Communication Disorders (NIDCD) of the NIH. NACS students can conduct research in cellular and
molecular neurobiology and imaging of the human CNS with mentors at NIDCD, most of whom are NACS adjunct faculty.
Thus, the NIDCD-NACS relationship extends research and training opportunities for students while they get their degrees
from the NACS program. NACS has also developed a similar joint research program with researchers at the Children's
National Medical Center (CNMC).
Financial Assistance
Graduate fellowships are available on a competitive basis to both entering and continuing students, while qualified students
may also receive teaching assistantships. In addition, some faculty have graduate research assistantships for their students.
There are also NIH graduate training grant fellowships for students interested in studying auditory neuroscience.
Contact Information
Program Director - Robert J. Dooling
2123D Biology/Psychology Building, College Park
MD 20742
Telephone: (301) 405-5925
Fax:(301)314-9566
dooling@psyc.umd.edu
Graduate Director - Bill Idsardi
1417 Marie Mount Hall, College Park
247
MD 20742
Telephone: 301-405-8376
idsardi@umd.edu
Assistant Director - Pam Komarek
2131 Biology-Psychology Building, College Park
MD 20742
Telephone: 301-405-8910
Fax:301-314-9566
pkomarek@umd.edu
http://www.nacs.umd.edu
Courses: NACS
Related Programs and Campus Units
Kinesiology
Animal Sciences
Nutrition
Linguistics
Hearing and Speech Sciences
Clinical Audiology
Psychology
Human Development (Institute for Child Study)
Engineering: Electrical & Computer Engineering
Engineering: Bioengineering
Computer Science
Education: Human Development
Biological Sciences
Nutrition (NUTR)
The Department of Nutrition and Food Science offers courses that may involve the use of animals. Students who are
concerned about the use of animals in teaching have the responsibility to contact the instructor, prior to course enrollment,
to determine whether animals are to be used in the course, whether class exercises involving animals are optional or
required, and what alternatives, if any, are available.
Abstract
The Graduate Program in Nutrition is an interdepartmental program administered by the Department of Nutrition and Food
Science (NFSC). It involves faculty from the Departments of Animal and Avian Sciences, Anthropology, Chemistry and
Biochemistry, Nutrition and Food Science, and Pediatrics (UMAB Campus), and scientists in nearby research institutions.
The program offers graduate study leading to the M.S. and Ph.D. degrees in nutrition. Both M.S. and Ph.D. programs
require completion of a research project either a thesis for the master's degree or a dissertation for the doctoral degree. A
graduate faculty is responsible for graduate admission and curriculum maintenance. Currently, there are approximately 17
graduate students enrolled in the Graduate Program in Nutrition and there are 18 graduate faculty members. Research
interests of the faculty include; the genetic and metabolic basis for dietary requirements of animals and humans; nutritional
biochemistry; nutritional aspects of chronic disease; international nutrition, community nutrition, food and nutrition policy; and
nutrition, neuroscience and behavior. Programs of research are individually planned with the student and an appropriate
Graduate Faculty Advisory Committee.
Admissions Information
Completion of a four-year Bachelor's Degree from an accredited institution with a minimum grade point average of 3.0 (on a
4.0 scale) is required. Preference is given to students having a Bachelor's degree in nutrition, chemistry, biology, food
science, animal science or related fields. However, consideration will be given to others having adequate background
courses and who demonstrate potential for a research career. A faculty member of the Graduate Program in Nutrition must
agree to serve as an advisor or a prospective graduate student may not be admitted to the Program. Required background
courses in order to be eligible to apply include: Mathematics sufficient to undertake upper level statistic courses- UMCP's
equivalent of Math 1 15-Precalculus or better, one semester of the equivalent of UMCP's Chem 233-Organic Chemistry I
(with lab), and one semester of the equivalent of UMCP's Chem 243-Organic Chemistry II (with lab). Preferred courses
include(students admitted without the following courses may be required to take the equivalent), as part of their graduate
program: one semester of the equivalent of UMCP's BCHM 461 -Biochemistry I, one semester of the equivalent of UMCP's
BCHM 462-Biochemistry II, one semester of the equivalent of UMCP's BSCI 440-Mammalian Physiology, and one semester
of the equivalent of UMCP's NFSC 440-Advanced Human Nutrition. Offers of admission (or rejection) are made by the
Graduate School based upon the recommendation of the Director of the Graduate Program in Nutrition and the Graduate
Faculty Admissions Committee.
Application Deadlines
248
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: December 15
Deadline: June 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 15
Deadline: June 1
Application Requirements
1 . GRE General Test. A minimum score of 500 is required in each of the Verbal and Quantitative sections and a score of 3.5 - 6 is required in
the Analytical Writing section. If the GRE general test was taken prior to October 2002, the minimum score required in each section of the
GRE is 500, for a total of 1 500.
2. 3 Letters of Recommendation
3. TOEFL-Test of English as a Foreign Language for International Applicants, a minimum score of 100(IBT) is required.
4. TSE-Test of Spoken English for International Students who wish to be considered for a Teaching Assistant Position is required.
Degree Requirements
Master of Science (M.S.)
Requirements for the M.S. degree in Nutrition are a minimum of 30 graduate credits of course work including a minimum of
12 credits of 600 level courses and a minimum of 6 graduate credits of master's thesis research (NFSC 799). A minimum
g.p.a. of 3.0 is required to maintain good academic progress for graduation. The student must complete a thesis and
successfully defend their research before a graduate faculty examining committee approved by the Graduate School. In
addition the student must write a manuscript, i.e. one or more research papers based upon the thesis and be submitted to a
refereed journal for review and publication. An average duration of a Master's project is 2-3 years depending upon prior
education and experience.
Doctor of Philosophy (Ph.D.)
Requirements for the Ph.D. degree in nutrition include a mastery of the broad fundamentals of nutrition as a science, as well
as the demonstrated ability to conduct independent research. Course requirements include: a minimum of 27 graduate
credits of course work including 9 credits of advanced nutrition course work, beyond the M.S. degree and 12 credits of
NFSC 899 Doctoral Dissertation Research. A minimum g.p.a. of 3.0 is required to maintain good academic progress for
graduation. Students are admitted to full candidacy for the Ph.D. upon passing a comprehensive written and oral exam on
basic core knowledge of nutrition science and submittal of a research proposal. In addition the student must prepare and
successfully defend a dissertation before their faculty advisory committee. The average duration of a Ph.D. degree program
is 4 years, depending upon prior education and experience.
Facilities and Special Resources
The program maintains equipment for conducting both basic and applied research through the individual participating faculty
members. The facilities are located in the Departments of Nutrition and Food Science, Animal and Avian Sciences,
Anthropology, Chemistry and Biochemistry, and Pediatrics (UMAB). There are also collaborative arrangements with the NIH,
FDA, and USDA. The library facilities are extensive. In addition to our excellent campus libraries, we are a few miles from
the National Archives, the National Agricultural Library, the Library of Congress, and the National Library of Medicine.
Financial Assistance
Financial support for graduate students is available on a competitive basis. The Department of Nutrition and Food Science
offers a limited number of graduate teaching assistantships. Applicants interested in a teaching assistant position should
complete the Merit-Base Award Form and submit to the Graduate Program in Nutrition office by the stated graduate
application deadline. International students who wish to be considered for a teaching assistant position must take the TSE
test (Test of Spoken English). In addition international teaching assistants who are not native speakers of English are
required by the University of Maryland to take part in the International Teaching Assistant evaluation. This includes
international teaching assistants who may have been educated entirely in English and those with Bachelor and Master's
degrees from universities in English-speaking countries. A limited number of research assistantships are available from
grant funds with the student assisting in the research supported under the grant. The research often may be applicable to
the thesis or dissertation. Research assistantships generally are not awarded until after students have attended classes and
are known to faculty. The University of Maryland emphasizes diversity in its recruitment and support of graduate students.
Other types of financial aid are also available, including a work-study program, grants, fellowships, and loans.
Contact Information
Additional information concerning admission requirements, courses, faculty, and facilities are available from:
Sara Kao, Coordinator, Student Programs
0112 Skinner Building College Park
MD 20742-7640
Telephone: (301) 405-8980
Fax:(301)314-3313
sarakao@umd.edu
http://www.agnr.umd.edu/users/nfsc/staff.htm
249
Dr. Liangli Yu, Director of the Graduate Program in Nutrition
3303 Marie Mount Hall College Park State: MD
MD 20742-7640
Telephone: (301) 405-0761
Fax:(301)314-3313
Iyu5@umd.edu
http://www.agnr.umd.edu/users/nfsc/
Courses:
Related Programs and Campus Units
Animal Sciences
Chemistry and Biochemistry
Anthropology
Kinesiology
Neuroscience and Cognitive Science
Food Science
Family Science
Philosophy (PHIL)
Abstract
The Department of Philosophy offers graduate study leading to the Master of Arts and Doctor of Philosophy degrees with
emphasis on contemporary Anglo-American philosophy and the interaction of philosophy with other disciplines. Students
often enter the doctorate program without an M.A. degree, but the M.A. may be earned on the way to the Ph.D. While the
Ph.D. program is suitable primarily for students who wish to enter a career in teaching and research at the college or
university level, the M.A. program is appropriate for those who want to deepen and expand the knowledge they gained as
undergraduates or who wish to develop competence in philosophy to apply to some other professional field.
Admissions Information
The Department requires for admission the results of the Graduate Record Examination, three letters of recommendation
from previous instructors, and a sample of the student's written work on a philosophical topic (normally an essay, no more
than twenty to twenty-five pages). The same supporting documents must be provided for admission to the master's program.
Candidates should normally have completed at least six courses of philosophy (logic, ethics, epistemology, metaphysics,
philosophy of mind, and the history of philosophy).
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 5
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 5
Application Requirements
1. GRE General
2. 3 Letters of Recommendation
3. Writing Sample (Philosophy Paper)
Degree Requirements
Master of Arts (M.A.)
Students must complete ten three-hour courses, or a total of thirty hours of course work. Two of these courses must be Core
Courses, the remaining eight graduate seminars offered by the Department. Additional details may be found in the Graduate
Handbook on the Department's www site.
Doctor of Philosophy (Ph.D.)
Students who seek admission to the Ph.D. program normally should intend to pursue only full-time study toward that degree.
In addition to the Graduate School requirements, students must complete twelve three-hour courses, or a total of thirty-six
hours of course work. Two of these courses must be Core Courses, the remaining ten graduate seminars offered by the
Department. Additional details may be found in the Graduate Handbook on the Department's www site. Foreign language
skills are required only as demanded by the individual student's research.
Partial credit toward the Ph.D. requirements may be awarded for relevant work done at other graduate institutions. The
Director of Graduate Studies will make a specific determination in each case.
Facilities and Special Resources
A number of other departments and programs at the University offer graduate students additional opportunities for
coursework and research.
250
In addition to the excellent libraries on campus, students may use other libraries in the Washington/Baltimore metropolitan
area, such as the Library of Congress, the Center for Hellenic Studies, and the Eisenhower Library on the campus of Johns
Hopkins University.
The Department sponsors a series of colloquia by visiting and local speakers throughout the academic year.
Financial Assistance
The Department administers a number of graduate assistantships and fellowships. Virtually all applicants admitted to the
doctoral program are offered support, typically a combination of teaching assistantships and fellowships.
Contact Information
For further information about the program, please consult the Department's www site: http://www.philosophy.umd.edu or
contact the Director of Graduate Studies.
Professor Peter Carruthers, Director of Graduate Studies
Department of Philosophy, University of Maryland, College Park
MD 20742
Telephone: (301) 405 5705
Fax:(301)405 5690
pcarruth@umd.edu
http://www.philosophy.umd.edu/
Professor Georges Rey, Director of Graduate Admissions
Department of Philosophy, University of Maryland, College Park
MD 20742
Telephone: (301) 405 5707
Fax: (301 ) 405 5690
georey2@gmail.com
http://www.philosophy.umd.edu
Courses: PHIL
Related Programs and Campus Units
Physics (PHYS)
Abstract
The Department of Physics includes programs in many areas of current research interest. These include: astrophysics,
atomic molecular and optical physics, biophysics, condensed matter physics, cosmic ray & particle astrophysics, dynamical
systems, elementary particle theory, fluid dynamics, general relativity, high energy physics, many-body theory, materials
research, non-linear dynamics and chaos, nuclear physics, particle accelerator research, plasma physics, quantum
computing, quantum electronics and optics, quantum field theory, space physics, statistical mechanics and
superconductivity.
Admissions Information
Because of the large number of qualified applicants, the Department of Physics has had to restrict formal admission to the
Graduate School to those who have shown particularly outstanding work in their undergraduate records or who have already
done satisfactory work in key senior-level courses at the University of Maryland. Students who have less outstanding
records but who show special promise may be given provisional admission under special circumstances. Regular admission
will then depend on the satisfactory completion of existing deficiencies. A faculty adviser will inform each of these students
what background he or she lacks and what he or she must accomplish to achieve regular admission. Thus, the Department
hopes to offer an opportunity for advanced study in physics to all qualified students.
Students who enter the graduate program are normally expected to have strong backgrounds in physics, including
intermediate-level courses in mechanics, electricity and magnetism, thermodynamics, physical optics, and modern physics.
A student with deficiencies in one or more of these areas may be admitted but will be expected to remedy such deficiencies
as soon as possible.
The Graduate Record Examination (GRE), including the Advanced Physics test, is required for admission. In rare instances,
this requirement may be waived. The average GRE Advanced Physics test score is 785. The average gpa for students
educated in U.S. institutions is 3.7. A minimum overall score of 575 on the Test of English as a Foreign Language is
required of applicants from non-English speaking countries.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 15
251
Type of Applicant
Fall
Spring
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Application Requirements
1. GRE General
2. GRE Physics
3. 3 Letters of Recommendation
4. Transcript from all institutions where you have taken 9 or more credits
Degree Requirements
Doctor of Philosophy (Ph.D.)
The requirements for the Doctor of Philosophy degree in physics are set in general terms to allow the individual student as
much freedom as possible to prepare a course of study suited to individual needs. These requirements are: competence in
basic physics indicated by a satisfactory performance on a qualifying examination and in a graduate laboratory; attendance
in a departmental research seminar; the giving of an oral Preliminary Research Presentation to demonstrate the ability to
organize and orally present a topic of current research interest in physics; a paper as evidence of the ability to organize and
present a written scholarly report on contemporary research prior to candidacy; advanced course study outside the student's
field of specialization consisting of two advanced courses (six credits), at least one of which must be a physics course at the
700 level or above; PHYS 624 or 625 for students with theoretical theses; and research competence through active
participation in at least two hours of seminar, 12 hours of thesis research, and the presentation and defense of an original
dissertation.
Master of Science (M.S.)
The Department offers both thesis and non-thesis options in its Master of Science program. The Departmental requirements
for the non-thesis option include: a total of 30 credits excluding research credits; at least four courses of the general physics
sequence; a graduate laboratory unless specially exempted; a paper as evidence of ability to organize and present a written
scholarly report on contemporary research; and the passing at the master's level of one section of the Ph.D. qualifying
exam. The thesis option's requirements include at least four courses of the general physics sequence, a graduate laboratory
unless specially exempted, and the passing of an oral examination including a defense of thesis.
Facilities and Special Resources
Current research in the Department spans an immense range of theoretical and experimental work on the forefront of
knowledge, far too large to describe here. Details of the work in the various fields, and the faculty and facilities involved can
be found at the Departmental web site, www.physics.umd.edu.
Out of the 70 professorial faculty members, approximately 60 engage in separately budgeted research; 90 faculty members
at other ranks also engage in research. In 2005-06, approximately 160 graduate students also participated in research under
stipends. The current federal support for research amounts to approximately 19 million dollars annually, attesting to both the
size and the quality of the program.
There are close academic ties with the Institute of Physical Science and Technology on the campus; members of the
Institute supervise graduate research and also teach physics courses. Faculty members in the departments of Astronomy
and Electrical Engineering also frequently direct thesis research.
In addition to using College Park campus facilities, graduate students can utilize resources of nearby federal laboratories
under certain conditions.
The University of Maryland is located within the metropolitan area of Washington, D.C., where it enjoys the proximity of a
large number of outstanding institutions, such as NASA's Goddard Space Flight Center, the Naval Research Laboratory, the
National Institute of Standards and Technology, the Johns Hopkins Applied Physics Laboratory, the Department of Energy,
the National Institute of Health, the Library of Congress, and other federal institutions. The Department works closely with
certain research groups at some of these institutions. In order to facilitate graduate study in the Washington area, the
Department of Physics has adjunct professors in certain government laboratories.
Students who desire to do graduate work in physics at a government agency should contact a member of the graduate
faculty in the Department.
Financial Assistance
The Department offers both teaching and research assistantships. In 2005-2006 approximately 50 teaching assistants and
160 research assistants worked in the Department. Summer research stipends for advanced graduate students are
customary, and a few summer teaching assistantships are available.
The deadline for all applications is February 1 .
Graduate students also can seek full-time or part-time employment in the many government and industry laboratories
located within a few miles of the campus.
Contact Information
A booklet is available regarding the graduate program in physics. Graduate Study in Physics is a guidebook to procedural
requirements and rules concerning the acquisition of higher degrees. Various brochures are available which describe the
program's research activities and personnel. For more information, contact:
Mrs. Linda O'Hara, Secretary
Graduate Entrance Committee
1 120 Physics Building Department of Physics University of Maryland
College Park
252
MD 20742
Telephone: (301) 405-5982
Fax:(301)405-4061
lohara@physics.umd.edu
http://www.physics.umd.edu/
Courses: PHYS
Related Programs and Campus Units
Biophysics
Astronomy
Plant Science (PLSC)
Abstract
The Department of Plant Science and Landscape Architecture (PSLA) directs the graduate program in Plant Science
(PLSC). The PLSC graduate program is focused on plant based sciences and management along with the application of
research to advance a basic understanding of plants and to help solve pressing problems in agriculture, horticulture and
natural resources. The program advances graduate training and research at all levels of plant organization; from the
genomic and molecular level to the whole organism, to agricultural systems and to natural and designed ecosystems. The
Plant Science faculty include world-class experts in a wide range of plant science related disciplines. In addition to faculty
within the program, faculty from various departments across campus also contribute to the PLSC program. Scientists from
governmental agencies including USDA, EPA, FDA, NASA and various non-governmental organizations (NGOs) also
participate in the program. Faculty research is funded through a number of federal agencies including NSF, DoD, USDA and
EPA. Graduate students play a central role in the research activities of the program. Research includes a wide variety of
plant science related disciplines including Functional Genomics and Molecular Physiology, Plant Conservation Biology and
Ecology, Plant Protection and Management and Landscape Management. Research in the Program includes: Functional
Genomics, Molecular Physiology, Molecular Genetics, Plant Breeding, Ecophysiology, Ecology, Conservation Biology, Plant
Pathology, Plant Management and Protection, Landscape Management, Sustainability, and Green-roofs.
Admissions Information
Admission to the program requires a baccalaureate from an accredited college or university in the United States or the
equivalent in a foreign country. Applicants are expected to have a 3.0 cumulative grade point average (4.0 scale) in all
previous academic work. In addition, applicants should have at least 16 credit-hours of prior course work in calculus,
physics, organic chemistry, biochemistry, biology, genetics or statistics. Promising students lacking this general preparation
may be provisionally admitted to the program and may be required to correct course work deficiencies within one year of
enrollment. The Graduate Record Examination (GRE) is required of all applicants to the Plant Science Program.
International students must submit the results of the TOEFL English exam. The program's admission committee, chaired by
the graduate coordinator, reviews all applications to the Plant Science graduate program. The committee will assess the
credentials (academic transcripts, GRE scores, letters of recommendation, and statement of personal goals) of each
applicant and determine if the applicant is acceptable for full admission, acceptable for provisional admission or
unacceptable for admission. For applicants acceptable for provisional admission the committee will recommend the
deficiencies or requirements that the student must meet upon subsequent enrollment. The graduate coordinator will report to
the faculty the recommendations of the admission committee and identify potential faculty to serve as research advisors.
Admission is dependent on the availability of a faculty member in the proposed area of study who is willing to assume the
responsibility or advising. Once a suitable research advisor is identified the graduate coordinator notifies the Graduate
School of the Departments recommendation on admission status. Only the Graduate School can extend an offer of
admission.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: May 1
Preferred: February 1
Deadline: June 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Deadline: June 1
Application Requirements
1. GRE General(required)
2. 3 Letters of Recommendation
3. Statement of Research Interest
4. Academic Transcripts
Degree Requirements
Master of Science (M.S.)
A program of study approved by the Advisor must be completed prior to the second semester of enrollment. This plan must
253
be filed with the Graduate Director. The program requires a minimum of 30 semester hours of course work beyond the B.S.
degree, including 6 hours of thesis research credits (799). A minimum of 12 credits hours must be earned in course-work at
the 600 level or higher. Students are also required to complete 2 semester hours of PLSC 608, Research Methods and 2
semester hours of PLSC 789, Advances in Research. Students must also complete one semester each of 400-level (or
higher) biochemistry, plant physiology, and statistics which may be completed as part of a B.S. or M.S. degree program.
A thesis must be submitted to the Graduate School. This thesis is approved by the Thesis Examining Committee appointed
by the Dean of the Graduate School upon the recommendation of the student's advisor. The advisor serves as the
chairperson of the examining committee and the student's advisory committee typically serves as members of the examining
committee. Committee membership must comply with Graduate School requirements for membership. The submitted thesis
must comply with the University of Maryland Thesis and Dissertation Style Guide.
It is the responsibility of the Advisor and Student to ensure that all University Research Assurances are followed. Research
involving human subjects must be approved in advance by the Institutional Review Board (IRB). Research involving the use
of vertebrate animals must be approved in advance by the Animal Care and Use Committee. Research using hazardous
materials (chemical or biological), recombinant RNA/DNA must be approved in advance by the appropriate University
committee
Doctor of Philosophy (Ph.D.)
A program of study approved by the Advisor must be completed by the end of the third semester of enrollment. This plan
must be filed with the Graduate Director. The Graduate School requires that every student seeking the Ph.D. satisfactorily
complete a minimum of 12 semester hours of dissertation credits (899). Students are also required to complete 2 semester
hours of PLSC 608, Research Methods and 2 semester hours of PLSC 789, Advances in Research. In addition students
admitted to the PhD program that lack the MS degree must complete the course requirements of the MS degree (24 credit
hours of coursework). Students must also complete one semester each of 400-level (or higher) biochemistry, plant
physiology, and statistics which may be completed as part of a B.S. or M.S. degree program and an additional graduate
level course in biochemistry or statistics.
An oral qualifying examination must be completed satisfactorily before a student is admitted to candidacy. At the discretion
of the advisor and advisory/examining committee a written exam may also be conducted. The examination must be
attempted by the end of the fifth semester of study. Under extenuating circumstances and with written permission of the
Program Director, this time frame may be extended. The examining committee corresponds to the student's Advisory
committee. To be eligible to take the candidacy examination, the student must have submitted a research proposal that has
been approved by the student's advisor and Advisory Committee prior to the formal qualifying examination. The completed
proposal must be given to the committee at least two weeks before the scheduled date for the qualifying examination. The
qualifying examination focuses principally on the written proposal. However, the student's mastery of general knowledge of
Plant Science may also be examined. At the end of the examination, all members of the committee vote on the student's
performance. Two negative votes constitute failure. Upon successful completion of the examination, the committee
recommends to the Director that the student by admitted to candidacy based on satisfactory performance during the
examination. It is the responsibility of the student to submit an application for admission to candidacy when all the
requirements for candidacy have been fulfilled. Students failing the qualifying examination may be re-examined once within
6 months of the first examination date. Students may be re-examined only once. Failure to pass the qualifying examination a
second time will result in termination of the student's program.
A dissertation based on independent, original research must be submitted to the Program and the Graduate School. This
dissertation is approved by the Dissertation Examining Committee appointed by the Dean of the Graduate School upon the
recommendation of the student's advisor. The advisor serves as the chairperson of the examining committee and the
student's advisory committee typically serves as members of the examining committee. Committee membership must
comply with Graduate School requirements for membership. The submitted dissertation must comply with the University of
Maryland Thesis and Dissertation Style Guide.
It is the responsibility of the Advisor and Student to ensure that all University Research Assurances are followed. Research
involving human subjects must be approved in advance by the Institutional Review Board (IRB). Research involving the use
of vertebrate animals must be approved in advance by the Animal Care and Use Committee. Research using hazardous
materials (chemical or biological), recombinant RNA/DNA must be approved in advance by the appropriate University
committee.
Facilities and Special Resources
The majority of laboratory space and offices for faculty in the Department are located at the College Park Campus in the
Plant Science Building and H. J. Patterson Hall. Laboratories are equipped for chemical, biochemical, molecular, genomic
and physiological research in plant science. Extensive controlled-environment facilities, a state-of-the-art greenhouse and a
network of commodity-oriented field research farms (Western Maryland Research and Education Center, Sharpsburg MD;
Central Maryland Research and Education Center, Clarksville MD; Turfgrass Research and Education Center, Beltsville MD;
Southern Maryland Research and Education Facility, Upper Marlboro MD; Wye Research and Education Center,
Queenstown MD; Lower Eastern Shore Research and Education Center, Salisbury MD) further enhance the facilities and
resources available to the program
Students have access to a computer laboratory in the department and a comprehensive computer center located on
campus. The University Libraries on campus and the National Agriculture Library located nearby, supplemented by the
Library of Congress, make the library resources accessible to students among the best in the nation. Many of the
Department's projects are conducted in cooperation with other departments on campus and with professionals at the
headquarters of the Agricultural Research Service of the United States Department of Agriculture located three miles from
campus in Beltsville. Scientists at the Geologic Survey, the National Academy of Sciences, NASA, National Institutes of
254
Health, Department of Energy, Smithsonian, and National Park Service, as well as other agencies, have cooperated with the
Department's faculty on various projects. Scientists from some of these agencies have adjunct appointments in the
Department, have taught special courses at the University, and participate on graduate committees.
Financial Assistance
A limited number of research assistantships and teaching assistantships are available for qualified applicants. There is
strong competition for these awards, and candidates are encouraged to submit their applications as early as possible in the
semester preceding anticipated enrollment in the Department.
Contact Information
For more specific information on the program, contact:
Dr. Gary D. Coleman
Department of Plant Sciences and Landscape Architecture, University of Maryland, 2102 Plant Sciences Building
MD 20740
Telephone: 301-405-4371
Fax:301-314-9308
gcoleman@umd.edu
http://www.psla.umd.edu/GradPL/index.cfm
Ms. Susan Burk
Department of Plant Sciences and Landscape Architecture, University of Maryland, 2102 Plant Sciences Building
MD 20740
Telephone: 301-405-6244
Fax:301-314-9308
sburk@umd.edu
http://www.psla.umd.edu/GradPL/index.cfm
Courses: NRSC HORT PLSC
Related Programs and Campus Units
Agricultural Experiment Station
Cell Biology and Molecular Genetics
Biology
College of Agriculture and Natural Resources
College of Life Sciences
Entomology
Maryland Cooperative Extension & Agricultural Experiment Station
Turfgrass Research Unit - College Park
Professional Master of Arabic Language (MPAR)
Abstract
Admissions Information
Application Requirements
Degree Requirements
Financial Assistance
Courses:
Professional Master of Persian Language (MPPE)
Abstract
Admissions Information
Application Requirements
Degree Requirements
Financial Assistance
Courses:
Psychology (PSYC)
255
Abstract
Psychology is a remarkably broad field that studies mind and behavior at all levels of analysis ranging from the micro to the
macro; from single cells to complex systems; from individuals to groups and cultures; and from invertebrates to humans.
Some of these endeavors connect with the biological sciences and others with the social sciences. As analytical,
methodological, and theoretical advances in one domain increasingly influence developments in another, psychologists
collaborate in ever greater numbers with scientists in neighboring disciplines, resulting in new subfields that blend the
biological and social sciences.
Our department reflects well this combined diversity of and collaborations among approaches. In recognition of this fact, we
organized our training structure into 5 Ph.D. program areas:
- Clinical
- Cognitive and Neural Systems (CNS)
- Counseling
- Developmental
- Social, Decision, and Organizational Science (SDOS)
Research collaborations across areas are common and we encourage students to consider training across areas as well.
The Department's doctoral programs in both Clinical and Counseling Psychology have been approved by the American
Psychological Association. School Psychology, also an APA approved program, is offered in the College of Education.
Admissions Information
The Department accepts only those applicants who have demonstrated competence for completing the requirements of the
doctoral degree. The typical student admitted to the graduate program has an overall undergraduate grade point average of
3.5 or above, a psychology grade point average over 3.5, Verbal and Quantitative GRE scores above 600, appropriate
background experiences, outstanding letters of recommendation, research experience and/or previous relevant work
experience, and goals congruent with the program. The Department of Psychology encourages applications from members
of racial/ethnic minority groups.
All of the programs offer doctoral level programs and do not accept students who are interested in terminal Master of
Science degrees. To be considered for admission for the fall semester, all application materials must be submitted by
December 1 st of the prior year.
Students admitted to the graduate program often earn the M.S. en route to the Ph.D., however, this varies across specialty
areas and the specific requirements within a given specialty area should be consulted. All students must be full-time until
completion of all requirements of the doctoral program other than the dissertation have been met.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: December 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 1
Application Requirements
1. GRE General required
2. GRE Subject recommended
3. 3 Letters of Recommendation
4. Transcripts
5. Statement of Goals and Research Experiences
Degree Requirements
Doctor of Philosophy (Ph.D.)
In addition to a quantitative core consisting of three courses, all students are required to take three core courses in areas
outside their specialty program. These core courses are designed to provide a breadth of knowledge in psychology.
Additionally, each program has requisite coursework and comprehensive examinations. A minimum of 12 credit hours for the
dissertation is required for a doctoral degree. In addition to attending classes, students are expected to take part in research.
Master of Science (M.S.)
The M.S. degree requirements are a research thesis (6 credit hours) and 24 credit hours including two courses in statistics.
The department does not offer a terminal M.S. Rather, students admitted to the graduate program often earn the M.S. en
route to the Ph.D.
Facilities and Special Resources
The Department shares a building with the Biology Department and is centrally situated on campus near three libraries and
the student union. The Department has state-of-the-art laboratories, computer facilities, and video equipment. The
geographic location in a suburb of Washington, D.C. provides access to a wide variety of laboratory and training facilities in
governmental and other agencies. In addition, we are near the national headquarters for The American Psychological
Association and The American Psychological Society.
The Department follows all regulations involved in the use of human subjects and animals.
256
Financial Assistance
The Department attempts to provide financial aid for all incoming students, although aid is not guaranteed. The different
possible types of financial support include fellowships (nominated by the department), teaching assistantships, research
assistantships, work on campus, and funded externships.
Contact Information
Additional information concerning the graduate program including specific specialty area information may be obtained by
accessing our website at http://www.psychology.umd.edu
Carol Gorham
Room 1141 Biology-Psychology Bldg.
MD 20742-4411
Telephone: (301) 405-5865
Fax:(301)314-9566
psyc-grad@deans.umd.edu
http://www.psychology.umd.edu
Courses: PSYC PSYC
Related Programs and Campus Units
Neuroscience and Cognitive Science
Advanced Computer Studies, UM Institute for (UMIACS)
Human-Computer Interaction Laboratory (HCIL)
Counseling and Personnel Services
Education: Counseling and Personnel Services
Family Science
Public Health: Health Services Ph.D. (PHHS)
Abstract
The Department of Health Services Administration offers a Ph.D. program in Health Services. The goal of this program is to
provide interdisciplinary training in research, practice, and policy analysis relevant to the planning, administration,
management, and evaluation of health and public health programs. The degree program prepares students to advance
research, policy, and practice to improve access, cost, and quality of health services, with a particular emphasis on federal
and state health policy.
In recent years there has been increasing national interest in the field of health services, driven by an aging population,
nearly 47 million uninsured Americans, rising health care costs, growing health disparities, and the increase in manmade
and natural disasters such as 9-1 1 and Hurricane Katrina. Amelioration of any of these problems will require professionals
with a strong knowledge base and research expertise in health services delivery systems and health care management. The
Ph.D. program in Health Services will provide this training, addressing local, state, and national issues in health care
services, health care delivery and management, health services policy, disparities in access to care, long term care, chronic
disease and disability care, and financing and economics in public health services delivery.
Admissions Information
To apply to the doctoral program in Health Services, applicants must complete the University of Maryland Graduate School
application and provide additional information as described below under "Application Requirements". The Graduate School
application and instructions can be found online at http://www.gradschool.umd.edu/gss/admission.htm . All applications are
considered for Fall enrollment only; this program does not accept applications for Spring semester admission.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Application Requirements
Applications for the doctoral program in Health Services are reviewed with consideration to the following criteria:
1 . Minimum 3.0 undergraduate GPA
2. Undergraduate and graduate transcripts (if applicable
3. GRE scores taken within the past 5 years
4. 3 letters of recommendation that address the applicant's academic capabilities and probability of success in graduate school
257
5. Statement of professional goals and interests and their congruence with those of the program
6. Relevant academic and work experience
7. Admission prerequisites: A Master's degree in Health Administration, Health Services, Health Policy, Health Care Economics, Business
Administration, or a related field
Applicants to the Ph.D. program in Health Services should be sure to use the PHHS major code when selecting the
program on the Graduate School application.
Degree Requirements
Doctor of Philosophy in Health Services (Ph.D.)
Students entering the Ph.D. program in Health Services must have completed a master's degree in Health Administration,
Health Services, Health Policy, Health Care Economics, Business Administration, or a related field. If the student's
completed master's degree does not include public health content in the five core areas of health services administration,
epidemiology, biostatistics, environmental health sciences, and social and behavioral sciences, these courses will need to
be completed in addition to a minimum of 42 credit hours of advanced course work required in the Ph.D. program.
The 42 credit hours of advanced course work includes a minimum of 21 credit hours in methods for health services
research, a minimum of 9 hours of credits in a cognate area (approved by the faculty advisor), and 12 credit hours of
dissertation research. Doctoral students advance to candidacy by completing a written comprehensive exam and an oral
defense of their dissertation proposal. In addition to the 42 credit hours of coursework, the written comprehensive exam, and
the proposal defense, students must successfully complete a doctoral dissertation and an oral dissertation defense.
We are focused primarily on admitting full-time students. We do admit a limited number of outstanding part-time students who are able
maintain a high level of commitment and determination to obtain their degree through all phases of their program including the dissertation
phase. All students must complete their degree in nine (9) years in accordance with University of Maryland Graduate School policy.
Facilities and Special Resources
The Department of Health Services Administration is home to the Center on Aging, established in 1974. In addition, the
department houses the Gliner Center for Humor Communication and Health, the Osher Lifelong Learning Institute, and
RSVP International. Current external funding comes from a wide variety of federal, foundation, state, local and private donor
sources.
The proximity of the University of Maryland, College Park to the nation's capital offers prospective students unparalleled
opportunities for internships and research experiences in public health, including placements at the National Institutes of
Health, the CDC Washington Office, the U.S. Department of Health and Human Services, Childrens National Medical
Center, the Maryland Department of Health and Mental Hygiene, and many other national, state, and local health agencies.
The diversity of cultural and socioeconomic groups, communities, industries, and health organizations provides a rich
environment for learning, research, public policy analysis, and service.
Financial Assistance
Contact Information
Lori Simon-Rusinowitz, Ph.D.
Department of Health Services Administration 331 Od SPH Building (#255) University of Maryland College Park
MD 20742
Telephone: 301-405-2548
Fax:301-405-2542
lasr@umd.edu
http://www.sph.umd.edu/hlsa/
Courses: HLSA
Related Programs and Campus Units
Aging, Center on
Public Health: Master of Public Health-Biostatistics
Public Health: Master of Health Administration
Public Health: Master of Public Health-Community Health Education
Public Health: Master of Public Health-Environmental Health Sciences
Public Health: Master of Public Health-Epidemiology
Public Health: Maternal and Child Health Ph.D.
Public Health: Epidemiology Ph.D.
Public Health: Behavioral and Community Health Ph.D.
Family Studies
Kinesiology
Health Education
Maryland Institute for Applied Environmental Health
Epidemiology and Biostatistics
Public Health: Master of Public Health-Biostatistics (BIOS)
258
Abstract
The Department of Epidemiology and Biostatistics is pleased to offer a Master of Public Health program with a concentration
in Biostatistics. Biostatistics is a science that addresses theory and techniques for describing, analyzing, and interpreting
health data. Although biostatistics draws on quantitative methods from fields such as statistics, operations research,
economics, and mathematics, the discipline is primarily focused on their applications to problems in the biological, health,
and medical sciences.
The proximity of the University of Maryland, College Park to the nation's capital offers prospective students unparalleled
opportunities for internships and research experiences in public health, including placements at the National Institutes of
Health, the CDC Washington Office, the U.S. Department of Health and Human Services, Children's National Medical
Center, the Maryland Department of Health and Mental Hygiene, and many other national, state, and local health agencies.
The diversity of cultural and socioeconomic groups, communities, industries, and health organizations provides a rich
environment for learning, research, public policy analysis, and service.
Admissions Information
To apply to the MPH program with a concentration in Biostatistics, applicants must complete the University of Maryland
Graduate School application and provide additional information as described below under "Application Requirements". The
Graduate School application and instructions can be found online at http://www.gradschool.umd.edu/gss/admission.htm .
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Application Requirements
Applications for the MPH program with concentration in Biostatistics are reviewed with consideration to the following criteria:
1 . Minimum 3.0 undergraduate GPA
2. Undergraduate transcripts
3. GRE scores taken within the past 5 years
4. 3 letters of recommendation that address the applicant's academic capabilities and probability of success in graduate school
5. Statement of goals and interests and their congruence with those of the program
6. Relevant academic/work experience, including previous coursework in mathematics, statistical methods, and/or statistical software
packages.
Applicants to the MPH program with concentration in Biostatistics should be sure to use the major code BIOS when
selecting the program on the Graduate School Application.
Degree Requirements
Master of Public Health with concentration in Biostatistics (M.P.H.)
The Master of Public Health degree with a concentration in Biostatistics is a 43-credit professional degree, administered by
the Department of Epidemiology and Biostatistics. All MPH students with concentration in Biostatistics will complete 5 public
health core courses, 8 courses in the biostatistics cognate area, an internship, and a capstone project or thesis. Students
completing the project take 2 elective courses and students completing a thesis take 1 elective course (using the other 3
elective credits toward the thesis).
Facilities and Special Resources
The Department has research specializations in a variety of areas including:
1 . Social determinants of health, with emphasis on the determinants of cardiovascular disease, obesity, sexually transmitted diseases, and
health behaviors
2. Health disparities
3. Cultural competency in health care
4. Community-based physical activity interventions in adults and adolescents
5. Survival analysis, longitudinal data analysis, computational statistics, statistical genetics
Financial Assistance
Contact Information
Graduate Director, Brit I. Saksvig, PhD
Department of Epidemiology and Biostatistics School of Public Health 2234 School of Public Health Bldg.(#255)
University of Maryland College Park
MD 20742
Telephone: 301-405-2491
bsaksvig@umd.edu
http://sph.umd.edu/epib/
259
Courses: EPIB
Related Programs and Campus Units
Public Health: Epidemiology Ph.D.
Public Health: Master of Public Health
Public Health: Master of Public Health
Public Health: Master of Public Health
Public Health: Health Services Ph.D.
Public Health: Maternal and Child Health Ph.D.
Public Health: Master of Health Administration
Public Health: Behavioral and Community Health Ph.D
Family Studies
Kinesiology
Health Education
Maryland Institute for Applied Environmental Health
Epidemiology
Environmental Health Sciences
Community Health Education
Master of Public Health-Community Health Education
Public Health
(CHED)
Abstract
The Department offers graduate study leading to the Master Public Health (MPH) in Community Health Education, and the
Doctor of Philosophy degree in Behavioral and Community Health. The graduate programs are designed to prepare
professional health educators with specific skills and the ability to implement theoretical knowledge in a practical setting.
The mission of the MPH program is to promote the development of professional community health educators who
understand the science, theory, and practice of public health and can apply this knowledge toward the enhancement of
health status of communities. The MPH program is designed as a professional degree to prepare community health
educators working in public health service as practitioners, administrators, supervisors, educators, consultants and
researchers. Students will participate in both academic and applied training in program planning and implementation,
program evaluation, public policy analysis, research, and management.
Degree programs may be completed either full-time or part-time. Faculty support coursework, research and practice
experiences in many areas, including: public health; health behavior; adolescent health; women's health; and minority
health. Faculty hold doctoral degrees in public health, psychology, health education, sociology and epidemiology. The
Department offers excellent research and laboratory facilities including the Laboratory for Health Behavior Assessment and
Intervention, The Center for Health Behavior Research, and the Stress, Health and Addictions Research Program (SHARP).
Admissions Information
An undergraduate GPA of at least 3.0 is required for admission to the MPH program. In addition, the Department requires
satisfactory GRE scores, three letters of recommendation, and a statement of purpose from all applicants. Completed
admission applications (those that include all supporting materials) must be received by January 15th to be considered for
Fall enrollment.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 15
Preferred: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Preferred: January 15
Application Requirements
• 1. GRE General
• 2. Three letters of Recommendation
• 3. Statement of Purpose
• 4. Transcripts from previously attended universities/colleges
• 5. Curriculum Vitae or Resume
• 6. Completed On-line Application
Applicants to the MPH in Community Health Education should be sure to use "CHED" as the 4-letter program/major code
when selecting the program on the UMD Graduate School On-line Application.
Degree Requirements
Master of Public Health in Community Health Education (M.P.H.)
The MPH in Community Health Education is a 42-credit program which includes coursework, an internship, and a MPH
project or a thesis.
260
Facilities and Special Resources
The Department has research specializations in a variety of areas including:
• Minority health/social inequalities in health
• Alcohol and drug abuse
• Safety and health
• Sexual health
• Adolescent health and risk behavior
• Violence prevention/community violence
• Public health communication
• Treatment of nicotine dependence
Specialized laboratories operating within the Department include:
• The Stress, Health, and Addictions Research Program (SHARP)
• The Laboratory for Health Behavior Assessment and Intervention
• The Center for Health Behavior Research
The proximity of the nation's capital, the National Institutes of Health, the National Library of Medicine, and the Library of
Congress render the University of Maryland unusually well suited for graduate work in public and community health
education.
Financial Assistance
The Department offers a limited number of fellowships, and graduate teaching and research assistantships.
Contact Information
For additional information please contact:
Graduate Studies Director
2387 SPH Building University of Maryland College Park
MD 20742
Telephone: 301-405-2464
Fax:301-314-9167
ksharp1@umd.edu
http://www.sph.umd.edu/dpch/
Courses: HLTH
Related Programs and Campus Units
Public Health: Master of Public Health-Environmental Health Sciences
Public Health: Master of Public Health-Biostatistics
Public Health: Health Services Ph.D.
Public Health: Master of Public Health-Epidemiology
Public Health: Maternal and Child Health Ph.D.
Public Health: Epidemiology Ph.D.
Public Health: Master of Health Administration
Public Health: Behavioral and Community Health Ph.D.
Family Studies
Epidemiology and Biostatistics
Kinesiology
Maryland Institute for Applied Environmental Health
Public Health: Master of Public Health-Environmental Health Sciences
(MIEH)
Abstract
The Maryland Institute for Applied Environmental Health offers a Master of Public Health (MPH) degree with a concentration
in Environmental Health Sciences. Environmental Health Science is a discipline that investigates biological, chemical, and
physical factors that affect the health of human beings and their communities. Focusing on interrelationships between
people and their environments, the discipline seeks to translate environmental health research into effective public health
practice; promote human health and well-being; and foster safe and healthy environments. Environmental public health
scientists address issues such as the control of epidemic diseases, food and water safety, treatment and disposal of liquid
and airborne wastes, elimination of workplace stressors, and the role of environment in chronic illnesses. Environmental
health sciences professionals also tackle the effects of long-range problems, including the effects of toxic chemicals and
radioactive waste, acidic deposition, depletion of the ozone layer, and global warming on human health.
Admissions Information
To apply to the MPH program with a concentration in Environmental Health Sciences, applicants must complete the
University of Maryland Graduate School application and provide additional information as described below under
"Application Requirements". The Graduate School application and instructions can be found online
at http://www.gradschool.umd.edu/gss/admission.htm .
261
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Application Requirements
Applications for the MPH program with concentration in Environmental Health Sciences are reviewed with consideration to
the following criteria:
1 . Minimum 3.0 undergraduate GPA
2. Undergraduate transcripts
3. GRE scores taken within the past 5 years
4. 3 letters of recommendation that address the applicant's academic capabilities and probability of success in graduate school
5. Statement of goals and interests and their congruence with those of the program
6. Relevant academic/work experience, including previous coursework in biology, chemistry, mammalian physiology, mathematics, statistical
methods, and/or statistical software packages.
Applicants to the MPH program with concentration in Environmental Health Sciences should be sure to use the
major code MIEH when selecting the program on the Graduate School Application.
Degree Requirements
Master of Public Health with concentration in Environmental Health Sciences (M.P.H.)
The MPH with a concentration in Environmental Health Sciences is a 42-credit professional degree. All MPH students with
concentration in Environmental Health Sciences will complete 4 public health core courses, 6 courses in the environmental
health sciences cognate area, two elective courses, an internship, and a capstone project or thesis.
Facilities and Special Resources
The proximity of the University of Maryland, College Park to the nation's capital offers prospective students unparalleled
opportunities for internships and research experiences in public health, including placements at the National Institutes of
Health, the CDC Washington Office, the U.S. Department of Health and Human Services, Children's National Medical
Center, the Maryland Department of Health and Mental Hygiene, and many other national, state, and local health agencies.
The diversity of cultural and socioeconomic groups, communities, industries, and health organizations provides a rich
environment for learning, research, public policy analysis, and service.
Financial Assistance
Contact Information
Maryland Institute for Applied Environmental Health 2234 School of Public Health Building (#255)
University of Maryland College Park
MD 20742
Telephone: 301-405-5509
Fax:301-314-1012
miaeh@umd.edu
http://www.sph.umd.edu/miaeh/
Courses: MIEH
Related Programs and Campus Units
Public Health: Master of Public Health-Biostatistics
Public Health: Master of Public Health-Community Health Education
Public Health: Master of Public Health-Epidemiology
Public Health: Health Services Ph.D.
Public Health: Maternal and Child Health Ph.D.
Public Health: Epidemiology Ph.D.
Public Health: Master of Health Administration
Public Health: Behavioral and Community Health Ph.D.
Family Studies
Kinesiology
Epidemiology and Biostatistics
Health Education
Public Health: Master of Public Health-Epidemiology (EPDM)
262
Abstract
The Department of Epidemiology and Biostatistics is pleased to offer a Master of Public Health program with a concentration
in Epidemiology. Epidemiology is the study of the distribution and determinants of the varying rates of diseases, injuries, and
other health states in human populations. As the fundamental science underlying public health practice, epidemiology
provides the conceptual and practical tools necessary for the study of public health problems and the design of adequate
control measures. Although epidemiology shares concerns with disciplines such as biology, psychology, medicine, and
public policy, its importance stems from its consideration of disease as a population-based phenomenon within an
environmental context.
The proximity of the University of Maryland, College Park to the nation's capital offers prospective students unparalleled
opportunities for internships and research experiences in public health, including placements at the National Institutes of
Health, the CDC Washington Office, the U.S. Department of Health and Human Services, Children's National Medical
Center, the Maryland Department of Health and Mental Hygiene, and many other national, state, and local health agencies.
The diversity of cultural and socioeconomic groups, communities, industries, and health organizations provides a rich
environment for learning, research, public policy analysis, and service.
Admissions Information
To apply to the MPH program with a concentration in Epidemiology, applicants must complete the University of Maryland
Graduate School application and provide additional information as described below under "Application Requirements". The
Graduate School application and instructions can be found online at http://www.gradschool.umd.edu/gss/admission.htm .
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Application Requirements
Applications for the MPH program with concentration in Epidemiology are reviewed with consideration to the following
criteria:
1 . Minimum 3.0 undergraduate GPA
2. Undergraduate transcripts
3. GRE scores taken within the past 5 years
4. 3 letters of recommendation that address the applicant's academic capabilities and probability of success in graduate school
5. Statement of goals and interests and their congruence with those of the program
6. Relevant academic/work experience, including previous coursework in human biology or physiology, and statistical methods.
Applicants to the MPH program with concentration in Epidemiology should be sure to use the major
code EPDM when selecting the program on the Graduate School Application.
Degree Requirements
Master of Public Health with concentration in Epidemiology (M.P.H.)
The Master of Public Health (MPH) degree with concentration in Epidemiology is a 43-credit professional degree,
administered by the Department of Epidemiology and Biostatistics. All MPH students with concentration in Epidemiology will
complete 5 public health core courses, 8 courses in the epidemiology cognate area, an internship, and a capstone project or
thesis. Students completing a project take 2 elective courses (within the cognate area) and students completing a thesis
take 1 elective course.
Facilities and Special Resources
The Department has research specializations in a variety of areas including:
1 . Social determinants of health, with emphasis on the determinants of cardiovascular disease, obesity, sexually transmitted diseases, and
health behaviors
2. Health disparities
3. Cultural competency in health care
4. Community-based physical activity interventions in adults and adolescents
5. Survival analysis, longitudinal data analysis, computational statistics, statistical genetics
Financial Assistance
Contact Information
Graduate Director, Brit I. Saksvig, PhD
Department of Epidemiology and Biostatistics School of Public Health 2234 School of Public Health Bldg.(#255)
University of Maryland College Park
MD 20742
Telephone: 301-405-2491
bsaksvig@umd.edu
http://sph.umd.edu/epib/
263
Courses: EPIB
Related Programs and Campus Units
Public Health: Epidemiology Ph.D.
Public Health: Master of Public Health-
Public Health: Master of Public Health-
Public Health: Health Services Ph.D.
Public Health: Master of Public Health-
Public Health: Behavioral and Community Health Ph.D.
Biostatistics
Environmental Health Sciences
Community Health Education
Public Health: Maternal and Child Health Ph.D. (MCHS)
Abstract
Maternal and child health is an interdisciplinary field in which empirical research, epidemiological data, and policy analyses
are used to understand individual, family, community, and sociocultural factors that influence health behaviors, health
outcomes, and use of health services by mothers, children, adolescents, and their families (including fathers). The MCH
program prepares students to advance research, policy, and practice to improve the health, safety, and well-being of these
groups, with a particular emphasis on low income and ethnic minority populations. The program equips students to address
MCH issues at both the family and population levels. It is unique in its focus on the whole family system and family health
policy. Ph.D. graduates in MCH are prepared for academic and research positions in colleges and universities; high level
administrative or research positions in city/county/state/national health and human service agencies; and leadership
positions in nongovernmental and advocacy organizations. MCH graduates are also increasingly hired by private health care
organizations such as hospitals, HMOs, and health insurers.
Admissions Information
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: December 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 15
Application Requirements
Applicants to the MCH Ph.D. program should have an MPH degree or a social/behavioral science master's degree that
focuses on family, maternal, and/or child health issues (including mental health). Prior to entry, students must also have
completed at least one semester of a university-supervised, graduate level professional experience in a public health or
mental health setting. Students without the MPH degree must complete the required 5 public health core courses
(biostatistics, epidemiology, environmental health, health services administration, and social and behavioral sciences) within
one academic year of their entry into the program. Applicants should also have a minimum undergraduate GPA of 3.0 and a
minimum graduate GPA of 3.0. GREs of at least 1000 (verbal and quantitative combined) are required.
Degree Requirements
Doctor of Philosophy (Ph.D.)
The Ph.D. program requires 48 graduate credit hours beyond the master's degree, including a maternal and child health
core (24 credits), a research methods core (12 credits), and the dissertation (12 credits). Students in the Ph.D. program
advance to candidacy after completing required coursework and passing a written comprehensive examination. After
advancement to candidacy, students must complete a dissertation proposal and oral defense, followed by the doctoral
dissertation and oral dissertation defense.
Financial Assistance
Fellowships and Graduate Assistantships are available to students admitted into the MCH Ph.D program.
Contact Information
For additional information contact: Dr. Sally Koblinsky (Chair), Dr. Edmond Shenassa (MCH Program Director), or Dr. Leigh
Leslie (Graduate Director). Maternal and Child Health Ph.D. Program Department of Family Science 1204 Marie Mount Hall
University of Maryland Phone 301-405-3672 Fax 301-314-9161 http://www.sph.umd.edu/fmsc
Courses:
Related Programs and Campus Units
Family Science
Public Health: Master of Public Health-Biostatistics
Public Health: Health Services Ph.D.
Public Health: Master of Public Health
Public Health: Master of Public Health
Community Health Education
Environmental Health Sciences
264
Public Health: Epidemiology Ph.D.
Public Health: Behavioral and Community Health Ph.D.
Public Health: Behavioral and Community Health Ph.D. (PCHL)
Abstract
The Department offers graduate study leading to the Master Public Health (MPH) in Community Health Education, and the
Doctor of Philosophy degree in Behavioral and Community Health. The graduate programs are designed to prepare
professional health educators with specific skills and the ability to implement theoretical knowledge in a practical setting.
The goal of the doctoral program is to develop health professionals competent in understanding the health needs of
populations and qualified to participate in developing health education research, programs and policies. This program is very
selective and admission is competitive. The program provides students with the opportunity to develop research skills
essential in making significant contributions to the scientific and professional literature in health education.
Degree programs may be completed either full-time or part-time. Faculty support coursework, research and practice
experiences in many areas, including: public health; health behavior; adolescent health; women's health; and minority
health. Faculty hold doctoral degrees in public health, psychology, health education, sociology and epidemiology. The
Department offers excellent research and laboratory facilities including the Laboratory for Health Behavior Assessment and
Intervention , The Center for Health Behavior Research and the Stress, Health and Addictions Research Program (SHARP).
Admissions Information
For admission to the doctoral program, the Department requires an undergraduate GPA of 3.0 (if a master's degree has not
been obtained) and/or a graduate GPA of 3.5. In addition, the Department requires satisfactory GRE scores, three letters of
recommendation, and a statement of purpose from all applicants. Completed admission applications (those that include all
supporting materials) must be received by January 15th to be considered for Fall enrollment.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 15
Preferred: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Preferred: January 15
Application Requirements
1. GRE General
2. 3 Letters of Recommendation
3. Statement of Purpose
4. Transcripts from all previously attended universities/colleges
5. Curriculum Vitae or Resume
6. Completed On-line Application
Applicants to the PhD in Behavioral and Community Health should be sure to use "PCHL" as the 4-letter program/major code when selecting
the program on the UMD Graduate School On-line Application.
Degree Requirements
Doctor of Philosophy in Behavioral and Community Health (PCHL)
The PhD in Behavioral and Community Health is a 48 to 75 credit program depending on the number of course
requirements that can or cannot be waived. This research-intensive degree includes coursework, qualifying exams, and
individual research that results in a dissertation.
Facilities and Special Resources
The Department has research specializations in a variety of areas including:
• Minority health/social inequalities in health
• Alcohol and drug abuse
• Safety and health
• Sexual health
• Adolescent health and risk behavior
• Violence prevention/community violence
• Public health communication
• Health literacy
• Treatment of nicotine dependence
Specialized laboratories operating within the Department include:
• The Stress, Health and Addictions Research Program (SHARP)
• The Laboratory for Health Behavior Assessment and Intervention
• The Center for Health Behavior Research
265
The proximity of the nation's capital, the National Institutes of Health, the National Library of Medicine, and the Library of
Congress render the University of Maryland unusually well suited for graduate work in public and community health
education.
Financial Assistance
The Department offers a limited number of fellowships, and graduate teaching and research assistantships.
Contact Information
For additional information please contact:
Graduate Studies Director
2387 SPH Building, Valley Drive
College Park
MD 20742
Telephone: (301) 405-2464
Fax:(301)314-9167
kshaipl@umd.edu
http://www.sph.umd.edu/dpch/
Courses: HLTH
Related Programs and Campus Units
Kinesiology
Public Health: Master of Public Health-Biostatistics
Public Health: Health Services Ph.D.
Public Health: Master of Public Health-Community Health Education
Public Health: Master of Public Health-Environmental Health Sciences
Public Health: Epidemiology Ph.D.
Public Health: Master of Public Health-Epidemiology
Public Health: Maternal and Child Health Ph.D.
Public Health: Master of Health Administration
Family Studies
Epidemiology and Biostatistics
Maryland Institute for Applied Environmental Health
Public Health: Epidemiology Ph.D. (EPID)
Abstract
The Department of Epidemiology and Biostatistics is pleased to offer a Ph.D. program in Epidemiology. Epidemiology is the
study of the distribution and determinants of the varying rates of diseases, injuries, and other health states in human
populations. As the fundamental science underlying public health practice, epidemiology provides the conceptual and
practical tools necessary for the study of public health problems and the design of adequate control measures.
The goal of the Ph.D. program in Epidemiology is to train students for future careers in epidemiologic research and
leadership in public health, with a particular emphasis on improving health and reducing health disparities in local
communities, Maryland, and the nation. The Ph.D. program provides training in epidemiologic methods and content to
prepare future public health researchers and academic faculty. Students are taught to apply epidemiologic methods to
important public health issues to better understand the causes and prevention of human disease. Graduates will be able to
work within an interdisciplinary framework with public health professionals from various backgrounds to accomplish research
goals. All doctoral students will complete seven core courses, six substantive area courses, four courses in specialty
cognate areas, five research methods courses, and 12 dissertation credits.
The proximity of the University of Maryland, College Park to the nation's capital offers prospective students unparalleled
opportunities for internships and research experiences in public health, including placements at the National Institutes of
Health, the CDC Washington Office, the U.S. Department of Health and Human Services, Children's National Medical
Center, the Maryland Department of Health and Mental Hygiene, and many other national, state, and local health agencies.
The diversity of cultural and socioeconomic groups, communities, industries, and health organizations provides a rich
environment for learning, research, public policy analysis, and service.
Admissions Information
To apply to the doctoral program in Epidemiology, applicants must complete the University of Maryland Graduate School
application and provide additional information as described below under "Application Requirements". The Graduate School
application and instructions can be found online at http://www.gradschool.umd.edu/gss/admission.htm . The doctoral program in
Epidemiology accepts only full-time students.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
Deadline: January 15
266
Type of Applicant
Fall
Spring
under A, E, G, H, 1 and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Application Requirements
Applications for the doctoral program in Epidemiology are reviewed with consideration to the following criteria:
1 . Master's Degree (MPH, MHS, MA, MS)
2. Minimum 3.0 undergraduate GPA
3. Undergraduate and graduate transcripts
4. GRE scores taken within the past 5 years
5. 3 letters of recommendation that address the applicant's academic capabilities and probability of success in graduate school
6. Statement of goals and interests and their congruence with those of the program
7. Relevant academic/work experience, including previous coursework in human biology or physiology, demonstration of proficiency in
statistical methods and statistical software, and research presentation or publication experience.
Applicants to the PhD in Epidemiology program should be sure to use the major code EPID when selecting the
program on the Graduate School Application.
Degree Requirements
Doctor of Philosophy in Epidemiology (Ph.D.)
The Ph.D. program in Epidemiology requires a minimum of 58 graduate credit hours beyond the master's degree in
Epidemiology or Public Health, including 12 credit hours of dissertation research. Students entering the program with a
master's degree in a field other than epidemiology are required to take epidemiology and biostatistics coursework to gain
competency in these content and method areas. A minimum of 12 credit hours in a cognate area (e.g. Physical Activity
Epidemiology) is required for specialization (included in the 58 credits). Students admitted to the Ph.D. program advance to
candidacy upon completing required coursework and passing a written comprehensive examination with an oral defense.
After advancement to candidacy, students must complete a dissertation proposal and oral defense, followed by successful
completion of the doctoral dissertation and oral defense.
Students in the Ph.D. program will be able to pursue an epidemiology degree with or without content specialization.
Currently, one specialization area is available: Physical Activity Epidemiology. Although physical inactivity is a leading public
health problem in Maryland and the nation, our epidemiology program will be the first to offer a specialization in physical
activity. Students who choose to specialize in Physical Activity Epidemiology will take graduate courses offered in the
Department of Kinesiology to gain expertise in this content area. Students who choose not to specialize in a content area will
take additional graduate-level elective courses in epidemiology selected in consultation with their advisors. The doctoral
program in Epidemiology accepts only full-time students.
Facilities and Special Resources
The Department has research specializations in a variety of areas including:
1 . Social determinants of health, with emphasis on the determinants of cardiovascular disease, obesity, sexually transmitted diseases, and
health behaviors
2. Health disparities
3. Cultural competency in health care
4. Community-based physical activity interventions in adults and adolescents
5. Survival analysis, longitudinal data analysis, computational statistics, statistical genetics
Financial Assistance
Contact Information
Graduate Director, Brit I. Saksvig, PhD
Department of Epidemiology and Biostatistics School of Public Health 2234 School of Public Health Bldg.(#255)
University of Maryland College Park
MD 20742
Telephone: 301-405-2491
bsaksvig@umd.edu
http://sph.umd.edu/epib/
Courses: EPIB
Related Programs and Campus Units
Public Health: Master of Public Health-Epidemiology
Public Health: Master of Public Health-Biostatistics
Public Health: Health Services Ph.D.
Public Health: Master of Public Health-Community Health Education
Public Health: Master of Public Health-Environmental Health Sciences
Public Health: Maternal and Child Health Ph.D.
Public Health: Master of Health Administration
Public Health: Behavioral and Community Health Ph.D.
Family Studies
Kinesiology
267
Maryland Institute for Applied Environmental Health
Health Education
Family Science
Public Health: Master of Health Administration (HLSA)
Abstract
The Department of Health Services Administration offers a Master of Health Administration (MHA) degree with emphasis on
health services administration. The MHA program is designed to give students a strong knowledge base in health care
management and health services delivery systems and an understanding of the basic and core principles of public health.
The overarching goals of the U.S. Health Resources and Services Administration (HRSA) are to improve access to health
care, improve health outcomes, improve the quality of health care, eliminate health disparities, improve the public health and
health care systems, enhance the ability of the health care system to respond to public health emergencies, and achieve
excellence in management practices (HRSA, 2006). Students who complete the MHA degree will possess the knowledge
and skills needed to address these challenges and to manage today's complex health care organizations.
The University of Maryland is located in the Washington, DC region. Its location provides close proximity to federal agencies
such as the Department of Health and Human Services, National Institutes of Health, National Center for Health Statistics,
the Federal Drug Administration, state and local agencies, and non-profit associations, all which provide outstanding
internship and potential employment opportunities.
Admissions Information
To apply to the MHA program applicants must complete the University of Maryland Graduate School application and provide
additional information as described below under "Application Requirements". The Graduate School application and
instructions can be found online at http://www.gradschool.umd.edu/gss/adinission.htm . All applications are considered for Fall
enrollment only; this program does not accept applications for Spring semester admission. The MHA program is open to
both full- and part-time students.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Application Requirements
Applications for the MHA program are reviewed with consideration to the following criteria:
1 . Minimum 3.0 undergraduate GPA
2. Undergraduate and graduate transcripts (if applicable)
3. GRE scores taken within the past 5 years
4. 3 letters of recommendation that address the applicant's academic capabilities and probability of success in graduate school
5. Statement of professional goals and interests and their congruence with those of the program
6. Relevant academic and work experience
7. Completion of prerequisites: Introduction to Microeconomics and Financial Accounting (transfer from undergraduate or post-baccalaureate
work is acceptable)
Applicants for the Master of Health Administration degree should be sure to the use
the HLSA major code when selecting the program on the Graduate School application.
Degree Requirements
Master of Health Administration (M.H.A.)
Financial Assistance
Contact Information
Dr. Laura Wilson
Department of HLSA School of Public Health 331 OF SPH Building University of Maryland College Park
MD 20740
Telephone: 301-405-2470
Fax:301-405-2542
lwilson@umd.edu
http://www.sph.umd.edu/hlsa/
Courses:
Related Programs and Campus Units
Public Health: Master of Public Health-Biostatistics
Public Health: Health Services Ph.D.
Public Health: Master of Public Health-Community Health Education
268
Public Health: Master of Public Health-Environmental Health Sciences
Public Health: Epidemiology Ph.D.
Public Health: Behavioral and Community Health Ph.D.
Public Policy: PhD Policy Studies (POSI)
Abstract
The School of Public Policy is one of the nation's leading graduate programs devoted to the study of public policy,
management and international affairs, with particular expertise in the fields of environmental policy, international
development, international security and economic policy, social policy, non-profit management, public management and
leadership, and public finance. The School offers a wide variety of master's programs, joint degree programs, graduate
certificate programs, and one of the nation's premier doctorate programs. The School's location just outside of Washington,
D.C. attracts a stellar faculty of scholar-practitioners who are experts in the theory and practice of public policy and
management and influential participants in the nation's policy-making process. The location and faculty in turn attract
outstanding students by providing them, not only an in-depth, rich curriculum, but extensive exposure to and interaction with
the real-life world of policy-making, the federal government, the international diplomatic community, state and local
governments, and a host of non-governmental and multinational organizations. It is one of the few policy schools to combine
state, national and international policy study under one roof, and to take into account policy interests in all sectors of the
economy, thus allowing both faculty and students to study the full range of issues in all courses and in all research. The
Ph.D. program trains policy analysts who will make their marks in the practice and teaching of public policy. To this end, a
limited number of applicants are admitted annually. In their first year, students develop and demonstrate proficiency in
economic, normative, political, and quantitative analysis. They then pursue advanced study within their chosen
specialization. Finally, they complete and defend a doctoral dissertation. Those awarded the degree move on to public policy
careers in government, research, academia, and the private sector.
Admissions Information
To apply to the School's PhD degree program, applicants must complete the University's online Graduate School
Application. Please be sure to enter the correct four-letter program code: POSI
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Application Requirements
1 . GRE General required for PhD program
2. Statement of purpose
3. 3 Letters of Recommendation
4. All original transcripts
5. Resume
6. Statement of Research Interest
7. Writing Sample (must be submitted electronically)
Degree Requirements
Doctor of Philosophy (Ph.D.)
The Ph.D. in Policy Studies program enables students to develop in-depth knowledge of the field and to conduct cutting-
edge research on public policy and management issues. Students are required to complete at least 24 credits of appropriate
coursework, including two required research methods courses. In addition, students must take 12 credits of dissertation
research. Students are required to pass exams in the basic disciplines of public policy and two field exams, usually with both
a written and oral component, in broad topics relevant to their proposed thesis topics. They then develop and defend a
dissertation prospectus followed by the dissertation itself.
The Ph.D. in Policy Studies is principally directed at students who have a master's degree in public policy or a related field,
such as economics, statistics, education or international relations, from a program comparable in quality and content to one
of the School's own master's programs. Students may apply while in the final year of such a program. Applications will also
be considered from recent college graduates without a master's degree who have an outstanding academic record.
Most students will be required to maintain full-time status through completion of the course work leading up to their exams
and thesis proposal; this typically requires two to three years. Some students will be admitted on a part-time basis with an
agreed schedule to ensure timely completion. A faculty member at the School must agree to serve as the Ph.D. applicant's
academic sponsor at the time of admission into the program. To facilitate the selection of a sponsor, applicants should
include, as part of their application, a description of the general areas in which they want to study and write their dissertation.
Financial Assistance
The School has financial aid available in the form of fellowships, graduate assistantships, and employment. All qualified
applicants meeting appropriate deadlines are considered.
269
Contact Information
Office of Student Affairs
2101 Van Munching Hall
College Park, MD 20742
Telephone: 301-405-6331
Fax:301-403-4675
policy-applications@umd.edu
www.publicpolicy.umd.edu
Courses: PUAF
Public Policy: Executive Master of Public Management (EXPM)
The Executive Master of Public Management Program is designed for students with five years of public management and/or
policy-related experience.
Abstract
The School of Public Policy is one of the nation's leading graduate programs devoted to the study of public policy,
management and international affairs, with particular expertise in the fields of environmental policy, international
development, international security and economic policy, social policy, non-profit management, public management and
leadership, and public finance. The School offers a wide variety of master's programs, joint degree programs, graduate
certificate programs, and one of the nation's premier doctorate programs. The School's location just outside of Washington,
D.C. attracts a stellar faculty of scholar-practitioners who are experts in the theory and practice of public policy and
management and influential participants in the nation's policy-making process. The location and faculty in turn attract
outstanding students by providing them, not only an in-depth, rich curriculum, but extensive exposure to and interaction with
the real-life world of policy-making, the federal government, the international diplomatic community, state and local
governments, and a host of non-governmental and multinational organizations. It is one of the few policy schools to combine
state, national and international policy study under one roof, and to take into account policy interests in all sectors of the
economy, thus allowing both faculty and students to study the full range of issues in all courses and in all research.
As an Executive Master Program degree candidate, you will follow a carefully designed 30-credit curriculum that provides
the frameworks and tools that you, as a public sector leader, require to effectively and responsibly define, evaluate, and
choose among competing policy options. Moving beyond traditional pre-career public policy program offerings, the Executive
Master Program degree curriculum assumes a far richer understanding of organizational and political processes. More
sophisticated management issues that are rarely touched upon in similar degree programs, such as results accountability
and performance management, are directly addressed.
Admissions Information
To apply to the School's Executive Master of Public Management degree program, applicants must complete the online
Graduate School Application. Please be sure to enter the correct four-letter program code: EXPM
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: December 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
1 . GRE General not required Executive MPM if Undergraduate GPA is at least 3.0
2. 3 Letters of Recommendation
3. All original transcripts
4. Statement of purpose
5. Resume
Degree Requirements
Executive Master of Public Management (MPM)
The Executive Master of Public Management degree consists of 30 credits of prescribed courses in the arts of public
management and policy analysis. Students move through the program as members of a cohort at a designated site, often
off-campus, convenient to most members of the cohort. To be considered for admission to the program, applicants must
have at least five years of professional public management/policy experience. Additional information on the curriculum and
admissions policies of this program is available on the School's website.
270
Financial Assistance
Contact Information
Office of Student Affairs
2101 Van Munching Hall
College Park, MD 20742
Telephone: 301-405-6331
Fax:301-403-4675
policy-applications@umd.edu
www.publicpolicy.umd.edu
Courses: PUAF
Public Policy: Masters Programs (PUAF)
Abstract
The School of Public Policy is one of the nation's leading graduate programs devoted to the study of public policy,
management and international affairs, with particular expertise in the fields of environmental policy, international
development, international security and economic policy, social policy, non-profit management, public management and
leadership, and public finance. The School offers a wide variety of master's programs, joint degree programs, graduate
certificate programs, and one of the nation's premier doctorate programs. The School's location just outside of Washington,
D.C. attracts a stellar faculty of scholar-practitioners who are experts in the theory and practice of public policy and
management and influential participants in the nation's policy-making process. The location and faculty in turn attract
outstanding students by providing them, not only an in-depth, rich curriculum, but extensive exposure to and interaction with
the real-life world of policy-making, the federal government, the international diplomatic community, state and local
governments, and a host of non-governmental and multinational organizations. It is one of the few policy schools to combine
state, national and international policy study under one roof, and to take into account policy interests in all sectors of the
economy, thus allowing both faculty and students to study the full range of issues in all courses and in all research.
Admissions Information
To apply to the School's Masters' degree programs, applicants must complete the online Graduate School Application.
Please be sure to enter the correct four-letter program code:
• MAPO : Master of Public Policy (MPP)
• MAMG : Master of Public Management (MPM) - Policy Track
. BMPO : Dual MPP and MBA
. LMPO : Dual MPP and JD
• PPCN : Dual MPP and MS in Conservation Biology
• MEPP : Master of Engineering and Public Policy
The admission processes for the School's dual BA/MPP program and its certificate programs are described on the School's
website (www.publicpolicy.umd.edu). Students generally apply to the dual BA/MPP program near the end of their
sophomore year at the University of Maryland. To be admitted to a graduate certificate program, students must first be
admitted either to a degree program on campus or as an Advanced Special Student (discussed near beginning of catalog).
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: April 1
Preferred: December 31
Deadline: October 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Preferred: December 31
Deadline: June 1
Application Requirements
1 . GRE General OR GMAT required for all degree programs, except as noted below.
2. LSAT may be substituted for GRE General if applying to MPP/JD.
3. GRE General OR GMAT not required for Policy MPM or Executive MPM if Undergraduate GPA is at least 3.0
4. 3 Letters of Recommendation
5. All original transcripts
6. Statement of purpose
7. Resume
Degree Requirements
MPP/MBA Dual Degree Program (MPP)
The University of Maryland Robert H. Smith School of Business and the School of Public Policy (both located in Van
Munching Hall) offer a dual program of studies leading to both the MPP and MBA degrees in less time (typically 5 or 6
271
semesters) and at less cost than if the degrees were obtained separately. Because some credits can be counted towards
both degrees, students need only complete 42 (versus 54) credits in the business school and 33 (versus 48) credits in the
policy school, thus saving 27 credits. (Dual credit requirements subject to change.) Otherwise the requirements of both
degree programs must be met.
Candidates must separately apply to the dual degree program in both the business school and the policy school. If admitted
by only one program, the student may enroll in that program.
For further discussion of admission and degree requirements, students may consult each school's website.
Master of Engineering and Public Policy (MEPP)
The Master's in Engineering and Public Policy (MEPP), offered jointly by the University's A. James Clark School of
Engineering and School of Public Policy, creates leaders who understand the social context of their work, and policy
analysts who have a real knowledge of engineering sciences.
The MEPP requires the completion of 39 credit hours, including four public policy core courses, four engineering courses
selected to develop technical depth in the student's chosen policy area, three supportive electives, and a scholarly practicum
internship with a major written report.
To be admitted into the MEPP program, students must hold a B.S. in engineering or a closely aligned technical degree and
they must meet the admissions criteria for both the Maryland School of Public Policy and the A. James Clark School of
Engineering.
Master of Public Policy (MPP)
The MPP is a 48-credit, 16-course professional degree combining a rigorous curriculum with practical experience. All
students take six courses that cover the primary tools of policy analysis: micro-economics, statistics, political analysis, moral
dimensions, management and leadership, and either financial analysis or macro-economics. Students then specialize in one
of the School's five primary areas of expertise: environmental policy, international development, international security and
economic policy, social policy, and management, finance, and leadership. Students then round out their coursework with
either additional courses in their specialization, general policy/management electives, and/or a focus on non-profit
management.
Most MPP students take 4 courses per semester and finish the program in two years. Students may instead take 1 -4
courses each semester and complete the degree in two-five years.
Between the first and second year, and/or during the academic year, most full-time students engage in internships in
international, federal, state or local government agencies, non-profit organizations, or private firms that are engaged in the
policy process. In addition to offering practical experiences and the opportunity to further develop skills acquired during the
first year, these internships provide students with contacts and relationships useful for future projects and job placement.
About 150 students from a wide variety of undergraduate schools and majors, from all parts of the country, and from around
the world enter the program each fall. The mean undergraduate grade point average of entering students is 3.6 and GRE
scores average in the low to mid 600s.
Master of Public Management - Policy Track (MPM)
The Master of Public Management (MPM) policy track is a 36 credit degree program for professionals with at least 5 years of
policy and management experience. The program is identical to the MPP program except that students take four fewer
general electives and may substitute a policy or management elective for the capstone course required in the MPP program.
Courses are offered throughout the day, but it is sometimes possible to complete the program by taking only courses
beginning no earlier than 4:15pm. Students usually finish the program in three years by taking two courses each fall and
spring semester, but can finish in as many as five years, or as few as one year by taking four courses in the fall and spring
semesters, 1 during the winter semester, and 3 during the summer semester.
Public Policy/Management Graduate Certificates ()
The School of Public Policy offers several 12-18 credit graduate certificate programs for students in other degree programs
on campus and professionals working in the policy arena who seek to enhance their understanding of policy analysis and
management. See the School's website for available certificate programs and admission policies.
BA/MPP Dual Degree Program (MPP)
The dual BA/MPP program enables some of the better performing students pursuing an undergraduate major through the
University's College of Behavioral and Social Sciences to earn both their BA and their master's degree in public policy in five
years or less by counting up to 1 8 credits of their public policy graduate courses towards both degrees, thus significantly
reducing both the time and cost of earning both degrees.
Most students apply to the program at the end of their sophomore year to be part of the program as of their junior year and
to begin graduate courses in their senior year. For further discussion of admission and degree requirements, students may
consult each school's website.
MPP/JD Dual Degree Program (MPP)
The University of Maryland School of Law (located in Baltimore) and the School of Public Policy offer a dual program of
studies leading to both the MPP and JD degrees, in less time (often four years) and at less cost than if the degrees were
obtained separately. Because they can double-count 9 credits taken at each school towards the other school, students
complete 75 (versus 84) credits at the law school and 39 (versus 48) credits in the policy school, thus saving 18 credits.
Candidates must separately apply to the dual degree program in both the law school and the policy school. If admitted by
only one program, the student may enroll in that program.
For further discussion of admission and degree requirements, students may consult each school's website.
MPP/MS in Conservation Biology (MPP)
As environmental problems become more scientifically and politically complex, employers and researchers in the
environmental analysis and policy fields are increasingly looking to hire graduates who are well-grounded in the natural and
life sciences, the workings of the public, private and non-government sectors, and the key policy analysis tools and
272
concepts. The College of Computer, Mathematical and Natural Sciences and the School of Public Policy offer a dual
program of studies leading to both the MPP and the Master of Science in Sustainable Development & Conservation Biology
in less time and at less cost than if the degrees were obtained separately. Because they can double-count some credits
taken in one program towards the other program, students complete a total of 60 credits in the dual program versus 48 in
the policy school and 39 in the M.S. program, thus saving 27 credits. Otherwise the requirements of both degree programs
must be met.
Candidates must separately apply to the dual degree program in both the M.S. program and the policy school. If admitted by
only one program, the student may enroll in that program.
For further discussion of admission and degree requirements, students may consult each school's website.
Financial Assistance
The School has financial aid available in the form of fellowships, graduate assistantships, and employment. All qualified
applicants meeting appropriate deadlines are considered.
Contact Information
Office of Student Affairs
2101 Van Munching Hall
College Park, MD 20742
Telephone: 301-405-6331
Fax:301-403-4675
policy-applications@umd.edu
www.publicpolicy.umd.edu
Courses: PUAF
Real Estate Development (RDEV)
Part I of the application for the RDEV program must be submitted on line by March 15. Applicants have additional time -
typically a month - to submit the rest of the materials required by the Supplemental Part II of the application. Applicants may
proceed to apply with the scheduling and/or taking of the GRE/GMAT not yet completed. The program is quite flexible in
considering applications from domestic applicants that are submitted after the deadlines if seats are available. Contact the
Program Director for instructions how to apply after the deadline, mmcf@umd.edu
Abstract
The Graduate Program leading to a Master's of Real Estate Development is based in the School of Architecture, Planning &
Preservation, but is not a design degree. The 33-42credit MRED stresses a comprehensive and collaborative approach to
real estate development, encompassing traditional real estate finance topics but going on to address the full range of
development issues-from property acquisition, to planning and permitting, law and finance, design and construction, as well
as marketing, commercial leasing, property, portfolio and asset management. The program aims to assure that graduates
can effectively engage bankers, investors, architects, contractors, lawyers, accountants, and public officials as well as how
to bring a project in on time and the ability to deliver dynamic marketing and effective property management.
The program uses not only the traditional graduate reading and research mode of learning, and the popular case study
review and discussion method, but embraces the studio, or practice method, engaging the professional development
community as partners in class and in the field to enliven the concepts presented in classroom lecture and discussion. Most
all of the instructors for the program are active professionals in the real estate field. Graduates are prepared to enter the real
estate industry with a keen awareness of the MRED Quadruple Bottom Line and ability to develop real estate properties that
are: Economically Viable, Environmentally Respectful, Socially Responsible and Beautifully Designed.
MRED is not a cohort program: The sequence of courses and number of semesters to complete the program can be tailored
to the academic preparation and any work experience of each student. There is no requirement for work experience.
Students may elect an: Accelerated Path-12 months (for students with finance education or experience); Full time path-18-
24 months; or Part Time path-30-60 months. The program admits up to 30 new students in each of the Fall (August) and
Spring (January) Terms.
All real estate (RDEV) courses are offered from 7:00 - 9:45 pm on one night a week, with courses scheduled Mondays
through Thursdays in the Spring and Fall terms. Limited courses are offered evenings in Summer and Fall on more intensive
schedules. Electives in other programs of the school may be scheduled late afternoon or on multiple days.
The MRED Program is enhanced by the research, publications and public outreach activities provided by the Colvin Institute
of Real Estate Development. The Institute is the home for the real estate journal, the Real Estate Review with the Institute's
Director serving as Editor-in-Chief. The Institute is also actively developing study abroad opportunities in China and India,
and considering opportunities in Brazil and Peru. Additional reduced fee courses in desirable skills are periodically offered
through the Institute, such as Preparation for the LEED exams, ARGUS, Co-Star, Writing and Presentation Skills, and
Executive Professional Skills.
While the program is quite flexible there are some parameters to consider. Students who are working full time are not
permitted to take more than two courses in any one semester. Course offerings in the Winter and Summer term are very
limited, and students may not enroll in more than one in Winter and two in Summer. Students desiring to follow the
accelerated path need to request early advising and plan their course sequence carefully and may not be working even part
time. Completion of the degree in 12 months is only guaranteed to be available for students starting in the Fall term and
273
those who have a finance education or experience. Full time Students taking 3 courses per term should not plan to work
more than 15 hours a week. Students have up to 5 years to complete all their course work, although the average time for
part time students is 30 months, 20 months for full time students.
Application fees, matriculation fees, technology fees and etc. are fixed by the Graduate School and can be viewed on the
web site for the University bursar. MRED tuition rates for students qualifying for Maryland residency are non-standard, and
are not subsidized by the State. The rates for the upcoming academic year are posted on the MRED web site. Tuition rates
for non-Maryland students are set by the Board of Regents and are not adjustable by the Program. To view the current
MRED in-state tuition rates, go to the admission page and click on Tuition and Fees at
www.arch.umd.edu/real_estate_development. Non-resident tuition costs are subject to adjustment by the Graduate School
prior to the beginning of any term. Tuition rates for Summer Sessions (both I and II) are tied to the rates for the subsequent
Fall term, rather than the Spring term they follow. Additional non-credit preparation and enhancement courses are offered by
the Colvin Institute on a very reduced fee/subsidized basis for MRED students. Scholarship funds for outstanding students
are relatively generous, and some assistance is available to over 50% of the incoming students.
Admissions Information
Acceptance to the program is on a competitive basis. Applicants are required to have a minimum undergraduate grade point
average (GPA) of 3.0 on a 4.0 scale from an accredited University. Applicants who demonstrate a strong interest and
aptitude with a GPA below 3.0 may be considered based on recommendations or proven success in the field. Such
applicants may be admitted provisionally or conditioned on additional course work.
Applicants for the MRED program are required to submit a GRE/GMAT/LSAT score, unless they are 5 years or more
beyond the granting of their undergraduate degree. Students may elect to complete their degree on an accelerated (12
month), full time (18-24 months), or part-time basis (36-60 months). Students working full time may not take more than 2
courses per term ; Students working 1 5 hours a week may take a full 3-course load, and students pursuing the expedited
path are not permitted to work. Students may adjust their schedule and number of courses at the beginning of any term.
Applications are also accepted from students completing the Graduate Certificate of Professional Studies in Real Estate
Development with up to four courses applied to the MRED degree. Graduate courses taken at other institutions prior to
application cannot be transferred to the Program. Students with advanced work in any area where there is a required course
in the curriculum can substitute a more advanced course or an additional elective of their choice. The total number of credits
to receive the MRED degree cannot be reduced by prior coursework at other institutions. Graduate level course work, up to
6 credits, taken as part of another program at the University of Maryland College Park, may, in exceptional circumstances,
be approved for inclusion in the credits for the MRED degree.
There is no restriction on the applicants' previous field of study, and diverse applicants, academic background, gender,
nationality, race and age, are very welcome. Students with no economics, finance or accounting background will be admitted
conditioned on taking 1 to 3 additional leveling courses as part of the degree, in which case the credits to obtain the MRED
degree may be 36 - 42 credits. All incoming students are required to attend writing and presentation skills coaching sessions
during their first term in the program. These sessions typically occur on 5 Saturdays spaced throughout the semester.
Attendance and passing this course is a requirement for continuing on in the program and obtaining the MRED degree.
Verification of financial, writing and presentation skills is required for graduation. Check with the Program Director on the
current method for obtaining Certification in financial, writing and presentation skills.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: August 1
Preferred: February 1
Deadline: October 15
Preferred: September 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Deadline: June 1
Application Requirements
1. Complete application form (On-line version) <1i> Complete supplemental form upon receipt of email from Admissions
2. Submit Academic credentials (official paper transcripts)
3. Submit Standardized test scores: GRE, GMAT or LSAT required unless undergraduate degree awarded more than 5 years ago.
4. Provide Three Letters of Recommendation (professors preferred for at least two Letters of Recommendation, supervisors and employers
are accepted for 1 or more for applicants more than 5 years past undergraduate degree.)
5. Prepare a Statement of Goals and Experience: 1 000-2000 word statement of the source(s) of your interest in real estate development, any
related or relevant work experience (not required), graduate school goals, any special practice focus, and post-graduate professional
aspirations.
6. Submit a business style Resume identifying all work experience (real estate and otherwise).
7. Include in your Statement a Self-Assessment of Skill Level indicating level of capability with financial calculator(s) and excel spreadsheet
functions. Classify your skill as one of the following: non-existent, minimal, moderate/workable, or superior/excellent.
8. In person or Telephone Interview for Domestic Applicants is available (email mmcf@umd.edu). International Applicants may be required to
participate in a telephone interview.
Degree Requirements
Master of Real Estate Development (MRED)
MRED is a 33-42 credit hour professional masters degree in real estate development. The curriculum has 7 core
requirements, 3 electives and a required capstone course integrating knowledge from all parts of the curriculum. The 7 core
274
areas are Development Law, Planning and Entitlements, Finance and Investments, Principles of Design, Construction
Management, Asset/Property Management, and Negotiations.
Electives may be selected in focus areas of: Advanced Finance, Sustainability, Affordable Housing, Historic
Preservation/Adaptive Reuse, Planning and Entitlements.
Applicants without any educational or work experience in real estate, particularly finance, accounting and economics are
admitted subject to adding 3 9 credits of additional RDEV course work in the first or second term of their MRED degree
program.
Graduates must achieve a 3.0 GPA for all coursework and successfully complete a presentation and writing skills non-credit
course, a final capstone project or research thesis and publicly present the capstone project in order to be awarded the
MRED degree.
Students must meet minimum professional writing and presentation standards, and complete successfully all writing,
presentation and skills non-credit courses as are offered and required during the period of enrollment.
International Students also must test out or successfully complete within two semesters the University English writing
courses as determined by the Office of International Educational Services.
The MRED program is highly flexible which allows for tailoring the core courses to a students background and interest, so
that a student with a strong background in architecture, law, planning, public policy or finance, could substitute out of the
core course in their area of expertise and add a more advanced course or an unrelated RDEV elective with the advice and
approval of the Director. The course work for the core courses is very intense, and off site visits are required in most courses
anywhere from 1 to 3 times per semester some of which are scheduled for weekend hours, and some during working hours
in limited instances.
Limited Courses are offered during Summer and Winter terms. Students may take no more than two courses over the
Summer terms and one course in Winter term. The maximum number of credits toward the MRED degree that may be taken
in Summer/Winter terms is FOUR. Once course (3 credits) may be credited toward the program for program approved Study
Abroad courses. See the Program Director for details before applying for any Study Abroad course.
Facilities and Special Resources
The University of Maryland is an exceptional location for the pursuit of graduate studies in the field of real estate
development, and graduate students are encouraged to take advantage of the opportunities. The University is eight miles
from the incomparable library and research facilities of Washington, D.C. In the nation's capital, MRED graduate students
have access to the Library of Congress, as well as the specialized collections of professional associations and international
organizations, such as the National Association of Home Builders, the Urban Land Institute, the American Institute of
Architects, the National Building Museum and agencies at all levels of government-municipal, county and state.
Close by the University are key historically important and interesting places in the development of U.S. communities,
including the 4th settlement in America at Historic St. Mary's City in Southern Maryland, which was the first planned city in
America. Just 10 minutes from campus is the 1930s new town of Greenbelt, Maryland, and within 45 minutes are the 1960's
new towns of Columbia, Maryland, St. Charles, Maryland and Reston, Virginia. One of the best examples of new urbanism is
the Kentlands development less than 30 minutes away. And not to be missed are the major redevelopment and urban living
revivals in the Port City of Baltimore and the historic neighborhoods of Anacostia and Columbia Heights in the District of
Columbia.
The program is also the home of the Colvin Institute of Real Estate Development, endowed by John and Karen Colvin, a key
supporter of the MRED program. Also associated with the School is the National Center for Smart Growth Research and
Education, which involves faculty and graduate students from several campus units in multi-disciplinary research on the
fiscal, environmental and social impacts of alternative development patterns; evaluation of growth management strategies,
national and international research as well as technical assistance to state agencies and local jurisdictions.
The program's location in College Park, with Metro access to downtown Washington, and easy access up the Baltimore-
Washington Parkway to Baltimore City, makes field work, site visits and interaction with ongoing real estate developments
and developers one of the signature features of the MRED program.
The MRED Council of Advisors, as well as adjunct faculty who are active professionals in all aspects of real estate
development, are eager to engage with students in the program which offers formal and informal occasions for advice about
the current trends in the industry as well as the possibility of full- or part- time employment or internships.
There is a very active MRED alumni group in the area who meet quarterly and are very effective in helping each other find
positions as well as work with each other now they are out in the field. Announcements about full time and intern positions
are posted to the MRED list serve frequently. Adjunct faculty and guest speakers are generally very willing to help students
network and connect with employment resources after they complete their first year and move on toward graduation.
Financial Assistance
The Colvin Institute provides scholarship funds to a number of highly qualified students, both part time and full time, each
term. Scholarship determinations are made at the time of application and admission. Scholarships are generally awarded on
a per course basis and commitments are made at the time of admission and apply to the entire program, subject to
academic performance.
The MRED Program offers a limited number of administrative graduate assistantships to full time MRED students. Contact
the Program Director to apply.
Periodically there are named scholarships provided by various real estate organizations or development companies.
In addition, there are work opportunities both on, and off campus, and they are relatively plentiful. Students in the past have
been successful in finding part time internships and full time work with local real estate companies. The MRED student
listserv posts openings periodically as they are brought to the attention of the Program by alumni, friends, faculty and
sponsors.
275
Applicants should inquire as to the availability of funding for the term they are starting. Scholarships are typically for a
portion of tuition only, and are paid on a per course basis as students progress through the program. Scholarships are
available to part time, full time, and accelerated students.
If tuition costs are a major factor in your choice of real estate program, please contact us about financing possibilities. The
total cost of the MRED degree is very competitive with comparable programs in the region, with significant University and
Colvin Institute resources available for student enrichment activities such as support for competitions, site visits, and
attendance at local, regional and national real estate events.
Contact Information
To arrange a visit, phone interview, or for more information please contact the Program Director, Margaret McFarland, at
mmcf@Umd.edu. Additional information on Case competitions, samples of student work, as well as syllabi and adjunct
faculty can be found at the MRED Program's Web site (www.arch.umd.edu/real_estate_development). You will also find the
Colvin Institute providing outreach and information at the ICSC in Las Vegas each May, at the ULI National Conference
each October, and at many local events of Bisnow, ICSC, ULI, CREW, WIRRE and HAND.
Margaret McFarland, JD, Director, Colvin Institute of Real Estate Development
University of Maryland, Architecture 1243, College Park
MD 20742
Telephone: 301-405-6790
mmcf@umd.edu
www.arch.umd.edu/real_estate_development
Courses: RDEV ARCH HISP URSP ECON PUAF
Related Programs and Campus Units
Architecture
Business and Management
Civil and Environmental Engineering
Community Planning and Historic Preservation
Historic Preservation
National Center for Smart Growth Research and Education
R.H. Smith School of Business
School of Public Policy
Landscape Architecture
Urban and Regional Planning and Design
Urban Studies and Planning
Urban Studies and Planning
Russian Language and Literature (RUSS)
Abstract
The M.A. in Russian Language and Literature (RUSS) has been transformed into the M.A. in Second Language Acquisition
and Application (SLAA) Degree Concentration: Russian for Special Purposes.
This degree is intended for individuals who require advanced-level academic and practical training in Russian beyond the
B.A. degree so that they may acquire high-levels of linguistic and cultural competence for use of Russian in the professional
workplace. It meets the academic needs of the people already working or seeking careers in government, education, private
industry or non-profit organizations.
For all the further information concerning the program description, the faculty and the admissions process, go to the Second
Language Acquisition and Application (SLNG) Program in the Graduate Catalog and visit the website at:
http://www.languages.umd.edu/SLAA
Please note that the application code for the Russian for Special Purposes Program is SLRU.
Admissions Information
In addition to the Graduate School requirements, candidates should have a bachelor's degree with a major in Russian
Language and Literature, Russian Language and Linguistics or the equivalent with a fluency in the written and spoken
language.
Application Deadlines
Type of Applicant
Application Requirements
Degree Requirements
Facilities and Special Resources
In addition to the course offerings listed below, the Russian section of the Department of Asian and East European
Languages and Cultures participates as an institutional partner in language study and research programs in Russia, the
other New Independent States, and Eastern Europe, sponsored by the American Council of Teachers of Russian
276
(ACTR/ACCELS). ACTR contributes to the support of University of Maryland, College Park students abroad as well as to
visiting faculty and curriculum consultants from the NIS at Maryland.
The Russian Section also sponsors the Russian Club, the University of Maryland Chapter of Dobro Slovo (the National
Russian Language Honor Society), and a Russian residential program within the International Language House, St. Mary's
Hall.
Distinguished scholars and lecturers, as well as visiting professors, visit the metropolitan area and campus regularly.
College Park's proximity to Washington D.C., facilitates participation in the many cultural functions of the capital as well as
access to research facilities such as The Kennan Institute for Advanced Russian Studies and the Library of Congress.
Financial Assistance
The Russian section offers graduate teaching assistantships, and the Graduate School offers, on a competitive basis,
various fellowships and grants.
Contact Information
For further information, write to:
Dr. Maria Lekic
3215 Jimenez Hall
Department of Asian and East European Languages and Cultures
MD 20742
Telephone: (301) 405-4099
Fax:(301)314-9752
lekic@actr.org
Website: http://www.languages.umd.edu/AsianEastEuropean/russian/
Courses: RUSS SLAV
Second Language Acquisition-Ph.D. (SLPH)
Abstract
The Ph.D. program in SLA at the University of Maryland aims to train students to conduct research on second language
acquisition processes. It has a strong cognitive focus. The program draws upon the expertise of a distinguished cadre of
faculty in the School of Languages , Literatures and Cultures , and in affiliate departments such as Huamn
Development, Linguistics ; Measurement, Statistics, and Evaluation ; Hearing and Speech ; Philosophy ; Psychology ; and Curriculum and
Instruction .
Admissions Information
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 15
Preferred: January 15
Deadline: October 15
Preferred: October 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Preferred: February 1
Deadline: June 1
Preferred: June 1
Application Requirements
1 . M.A. or M.S. degree in related field such as SLA, linguistics, psychology, or applied linguistics.
2. Three Letters of Recommendation.
3. Statement of Purpose in English. [Note that this single statement replaces the statements listed on the Graduate School application:
"Statement of Goals and Research Interests" and "Statement of Experiences."] Please submit it online to Enrollment Services Office, as
with the other documents requested.
4. Verbal and Quantitative GRE scores are required for all applicants
5. TOEFL score of 620 or higher for non-native speakers of English (260 on computer-based test)
6. Writing sample demonstrating evidence of ability and interest in undertaking scholarly research; could be published paper or M.A. thesis.
7. An interview may be required, in person or by phone.
For more information, consult the program's web site.
Degree Requirements
Doctor of Philosophy (Ph.D.)
The Ph.D. has 4 areas of specialization: Second Language Learning, Second Language Instruction, Second Language
Measurement and Assessment, and Second Language Use. Students select 2 courses each from 2 of these areas (for a
total of 4 courses) and are expected to take 2 additional electives in the area of their proposed dissertation work. In addition,
all students are expected to take 2 courses in quantitative and/or qualitative research methods. The 8 courses (total)
represent the minimum coursework requirement. Some students may need remedial coursework prior to undertaking their 8
courses, and many will wish to take courses beyond the minimum 8 based on their interests. Additionally, all students are
strongly encouraged to take a course in the philosophy of science. Before graduation, all students completing the PhD in
Second Language Acquisition must demonstrate three types of experience with non-native language: learning a non-native
277
language, using a non-native language, and teaching a language to non-native speakers of that language. All three types of
experience will be verified through official documentation and/or assessment as follows: 1. Language Learning. Students
must have spent at least two semesters as a student of a non-native language in a post-secondary classroom environment
(6 total credits minimum). Verified through transcript. SLLC will provide this experience for any student who needs it. 2.
Language Teaching. Students must have taught a language to non-native speakers of that language for at least 1 semester,
or the equivalent of 45 hours. Verified through contract, letter, etc. SLLC will provide this experience for any student who
needs it. 3. Language use. Students must show that they are able to communicate in a non-native language at the
intermediate-low level on the ACTFL scale through an Oral Proficiency Interview (OPI). Students whose native language is
not English will be able to satisfy this requirement through their competency in English. These three requirements do not
necessarily have to be met in the same language. Before the PhD dissertation, two qualifying papers are required. Please
see the program website for more details at: www.languages.umd.edu/slaa
Facilities and Special Resources
In addition to the University graduate library, the SLA program offers research facilities and resources to facilitate research
and materials development, including a multimedia SLA Lab, House, Language, the Office of Information Technology , and
the Center for Teaching Excellence .
Students completing coursework with affiliate faculty in the Departments of Psychology, Communication , Linguistics ,
and Education as well as the Center for Advanced Study of Languages may have access to their respective resources.
Financial Assistance
Most students admitted to the Ph.D. program will be funded, either via graduate or teaching assistantships or via research
assistantship on grants and contracts, all providing tuition waiver plus stipend. Some students may receive a Graduate
Assistantship from the UMCP-affiliated Center for the Advanced Study of Language (CASL) or the National Foreign Language
Center (NFLC , a unit within the College of Arts and Humanities (ARHU).
Contact Information
The SLAA web site offers more information on the program. For further questions, please contact Dr. Kira Gor, Graduate
Director of the program, at sla-info@umd.edu or at (301) 405-0185. Alternatively, if you have a particular interest in the
research of an individual faculty member, you are welcome to contact that person directly via email.
Dr. Kira Gor Director, SLA Program
School of Languages, Literatures and Cultures
3215 Jimenez Hall
University of Maryland, College Park
MD 20742
Telephone: 301-405-0185
Fax:301-314-9752
kiragor@umd.edu
http://www.languages.umd.edu/SLAA
Courses: SLAA PSYC EDMS EDHD COMM EDCI LING PHIL HESP
Related Programs and Campus Units
Center for Advanced Study of Language (CASL)
Linguistics
National Foreign Language Center
Curriculum and Instruction
Measurement, Statistics and Evaluation
Human Development (Institute for Child Study)
Hearing and Speech Sciences
Psychology
Philosophy
Communication
Linguistics
Sociology (SOCY)
Abstract
The Graduate Program in Sociology is designed for students seeking a Ph.D. degree. However, the M.A. option is available
to students who either wish to obtain a master's degree while continuing in the Ph.D. program, or who leave the program
before finishing the PhD. Areas of emphasis in the Department include: demography; development; gender, work, and
family; globalizing theory; military sociology; social psychology; and stratification.
Typically, about half the students finishing Ph.D. degrees in the Sociology Department work as faculty members at colleges
and universities, and about half are working in research, administration, and consulting in federal, state, or private
organizations. Our location in the Washington, D.C., area offers an unusual number of full-time research opportunities for
our graduates.
278
Admissions Information
Admission to the graduate program is based upon the student's academic record, GRE scores, letters of recommendation,
and other information relevant to the applicant's chances of being successful in the program. Although a previous major in
sociology is not required, students entering the graduate program should have had the following in undergraduate courses:
mathematics through college algebra, elementary statistics, sociological theory, and sociological research methods.
Students who have completed prior graduate coursework in Sociology may petition to waive one or more courses in Social
Theory, Statistics, or Research Methods. New graduate students are assigned temporary advisors upon arrival, and are
then expected to select a permanent advisor who will direct their dissertation research. For consideration for departmental
fellowships or assistantships, applications should be received by the preferred deadlines.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: February 15
Preferred: December 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Preferred: December 1
Application Requirements
1 . GRE (Verbal and Quantitative)
2. TOEFL required for all international students
3. Statement of Goals, experiences and research interests
4. Three Letters of Recommendation
5. Transcripts (undergraduate and graduate)
Degree Requirements
Master of Arts (M.A.)
The graduate program in the Department of Sociology is designed for Ph.D. students. We do not offer a terminal Master's
program and we will not accept or enroll students for the single purpose of acquiring a Master's degree. However, doctoral
students may obtain a Master of Arts degree during their course of doctoral study by completing 30 credit hours, including 1)
two courses in statistics (6 cr.); 2) one in methodology (3 cr.); 3) one in theory (3 cr.); 4) one computer skills course (1 cr.); 5)
an original research paper completed in the fourth semester (3 cr.).
Doctor of Philosophy (Ph.D.)
Ph.D. requirements include: 1) two courses in social theory (6 cr.); 2) two courses in statistics (6 cr.); 3) two courses in
research methods (6 cr.); 4) an advanced statistics course approved by the student's advisor OR an additional course in
research methods; 5) one computer skills course (1 cr.); 6) a set of three courses in each of two specialty areas
(independent reading courses do not count and the same course cannot be counted twice) (18 cr.); 7) a second-year
research paper which meets the approval of a minimum of two faculty members (3 cr.); 8) a second-year research paper
which meets the approval of two faculty members (completed while enrolled in 3 credits of SOCY699) 9) 12 credit hours of
dissertation research (12 cr.); and 10) a total of 58 credit hours of coursework and research.
After completion of the coursework, doctoral students must pass two examinations qualifying them to write their dissertations
in the specialties of their choice. Upon the recommendation of the appropriate faculty members, the Department Graduate
Committee approves the coursework qualifying students to take the two examinations.
Facilities and Special Resources
The Sociology Department's facilities include data processing and computer capabilities and a Department library. The
department is also closely involved with the Maryland Population Research Center and the Center for Research on Military
Organizations. The campus has excellent computer facilities and computer resources are available to faculty and graduate
students.
Financial Assistance
Financial assistance for graduate students is available through teaching and research assistantships, and for advanced
students through part-time instructorships. We also have several special fellowships for outstanding applicants, the C.W.
Mills Fellowships, that provide additional support tailored to the applicant's needs. All carry a stipend plus tuition remission,
and most include health benefits.
Contact Information
Applications materials may be found at: http://www.gradschool.umd.edu/gss/admission.htm
All application materials should be submitted either electronically or by mail to this address: University of Maryland College
Park Enrollment Services Operations (ESO) Applications for Graduate Admission Room 01 30 Mitchell Building College
Park, MD 20742
Patricio Korzeniewicz, Director of Graduate Studies
2103 Art-Sociology Building
College Park, MD 20742-1315
Telephone: (301) 405-6390
Fax:(301)314-6892
279
gradsoc@socy.umd.edu
http://www.bsos.umd.edu/socy/
Karina Havrilla, Graduate Coordinator
2103 Art-Sociology Building
College Park, MD 20742-1315
Telephone: (301) 405-6390
Fax:(301)314-6892
gradsoc@socy.umd.edu
http://www.bsos.umd.edu/socy
Courses:
Related Programs and Campus Units
Family Science
Spanish and Portuguese Languages and Literatures (SPAP)
Abstract
The Department of Spanish and Portuguese at the University of Maryland, College Park, has been the home of Latin
American and Spanish literary luminaries such as Juan Ramon Jimenez, who taught at the University between 1943 and
1951 and who, after being nominated by the Department, received the Nobel Prize for Literature in 1956. The legacy of Juan
Ramon Jimenez as well as that of cultural critic Angel Rama, award-winning poet Jose Emilio Pacheco, and Professor
Emerita Graciela Palau de Nemes continues to shape the thought, vision, and mission of our Department. Following in this
tradition of excellence and innovation, the Department offers comprehensive undergraduate and graduate programs in the
languages, literatures, and cultures of Latin America, Spain, and Portugal.
The Department is renowned for its interdisciplinary strengths in Latin American and Lusophone literatures and cultures as
well as its faculty research in the areas of the history of ideas, Southern Cone literature, Judeo-Latin American literature,
Mexican literature, theater, and performance, Latin American modernismo, colonial and transatlantic discourses, Central
American transnational cultures, U.S. Latinidades, Quechua language and indigenous literatures, Caribbean poetics, salsa
and sabor, Brazilian cinema, Lusophone Africa and African diaspora studies, deconstructions of the Cuban Revolution, and
contemporary reinscriptions of the nineteenth century.
Faculty members in the area of Spanish literature are recognized for their work in the history of the Spanish language and
philology from the Middle Ages to the present, Medieval historiography and women narratives, Golden Age poetics,
Cervantes and Quevedo traditions, revisions of the Enlightenment, romanticism (journalism and costumbrismo) and realism
(philosophical traditions), modern and postmodern narrative and poetics, as well as representations of the Spanish Civil War
and exile, particularly in Latin America.
The Department is also at the forefront of Spanish Applied Linguistics, language teaching pedagogy, language teacher
education, language use and identity, social and political issues in foreign language teaching and learning, instructional
technology, learner variables and learning disabilities, language for specific purposes, cross-cultural communication, and
heritage language learning.
Mission
The Department of Spanish and Portuguese seeks to forge a strong dialogue between, and within, our areas of
specialization pertaining to Latin America, Spain, and Portugal. To that end, we offer thorough instruction in the diverse,
complex, and globalized literatures, cultures, and linguistics of the Spanish and Portuguese-speaking world. Our students
not only develop the critical language skills to communicate in the target language but also gain an understanding of the
cultures, politics, histories, and literatures of the communities and national spaces of the Americas, Spain and Portugal.
Our goal is to foster learning, develop critical perspectives, and promote intellectual growth in our students. We teach across
interdisciplinary fields such as literature, film, popular and visual culture, theatre, history, philosophy, critical theory, gender
and queer studies, philology, and linguistics in different cultural and geographic contexts. We strive for the creation and
discussion of new forms of knowledge and the effective engagement of our students and faculty with the world. We prepare
students to become promising professionals in their chosen careers.
Our M.A. and Ph.D. graduates are mentored by our faculty, engage in substantive research projects, and benefit from
rigorous training in the teaching skills required by the profession. They are prepared to meet the professional demands of
highly competitive academic positions in the United States and Latin America.
Admissions Information
In addition to Graduate School requirements, candidates must have a bachelor's degree with a major in Spanish Language
and Literature, or the equivalent in a related field with near native fluency in the written and spoken language.
Application Deadlines
I
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
Deadline: January 15
Preferred: January 15
280
Type of Applicant
Fall
Spring
under A, E, G, H, 1 and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Preferred: February 1
Deadline: June 1
Preferred: June 1
Application Requirements
By January 7th, Send your Application for Graduate Admission DIRECTLY TO:
University of Maryland, College Park
Enrollment Services Operations (ESO)
Rm 0130 Mitchell Building
College Park, MD 20742
INCLUDE THE FOLLOWING MATERIALS:
1. Official Transcripts (minimum 12 credits upper level literature/culture courses)
2. TOEFL (minimum required 575) or IES* results for foreign students only
3. Three letters of Recommendation IN ENGLISH (or notarized translation)
4. Sample Research Paper IN SPANISH
5. Statement of Purpose IN ENGLISH
6. Oral Interview could be requested, in person or by phone, if the candidate is in the short-list of applicants.
The Department strongly encourage all of our applicants to submit as many of their materials as possible
electronically. 'International Education Services http://www.international.umd.edu/ies documents and transcripts
must be submitted in original hard copy.
Degree Requirements
Doctor of Philosophy (Ph.D.)
The doctoral degree is a research and specialized degree and it does not require a fixed number of credit hours. Before
admission to candidacy, the student must demonstrate:
1 . a thorough knowledge of the literary production in the chosen area (Spanish or Spanish-American Literature);
2. an in-depth knowledge of the field of specialization;
3. proficiency in at least one field of the others Hispanic literatures;
4. a reading knowledge of a language other than Spanish and English, to be used as a research tool in the field of specialization;
5. one course in linguistics, such as "History of the Spanish Language";
6. a minimum of one course in Literary Theory and/or Criticism;
7. acquaintance with a third literature (e.g. Luso-Brazilian, French, or English); and
8. a background in supporting fields to be used as research tools (e.g. history, philosophy, political science, sociology, or art). Students must
pass a comprehensive examination, a translation exam (in a language other than English and Spanish), have their dissertation proposal
approved for admission to candidacy, and defend a dissertation.
Master of Arts (M.A.)
The Department offers both a non-thesis option and the thesis option for the master's degree. A total of 30 credit
hours are required for the non-thesis option with three credits in linguistics; three credits in literary theory and/or
criticism; fifteen credits in either Spanish or Latin American literature, one of which is to be considered the
candidate's main field; and nine credits in the other or "non-major" literature. A one-credit course in methodology is
required of all teaching assistants. Students must also submit a written scholarly paper in the final semester of their
program which will be read and evaluated by at least two appropriate faculty members.
Students who choose to write a thesis must meet the same criteria stated above, except that the course
requirement in the "major" literature is reduced from fifteen to nine credits with six hours of thesis research credit
required. All M.A. candidates must take a comprehensive examination.
Facilities and Special Resources
In addition to the resources of the University libraries, students have easy access to the Library of Congress, the
Smithsonian Institution and other Washington-based libraries and archives. National Archives-ll, located on
University grounds, is readily accessible to the Campus community. Dr. Sosnowski is the founder and editor of the
literary journal Hispamerica. The graduate students publish Ojo de buey, a cultural magazine and also they have a
discussion group on Creative Writing named Humo.
In association with the Latin American Studies Center, the Department promotes original initiatives on Latin
American topics. Postdoctoral Fellows and Visiting Professors are an integral part of our academic program. In
recent years, our faculty has been the recipient of major grants and fellowships from The Rockefeller Foundation,
the National Endowment for the Humanities and the John Simon Guggenheim Foundation.
Financial Assistance
Financial assistance in the form of fellowships and assistantships is available for qualified applicants.
Contact Information
For additional information please contact:
Dr. Eyda Merediz
Director of Graduate Studies
Department of Spanish and Portuguese
221 5-H Jimenez Hall
281
University of Maryland
College Park, MD 20742
(301)405-6451
e-mail: emerediz@umd.edu
Department of Spanish and Portuguese
University of Maryland 2215 Jimenez Hall College Park, MD 20742
Maryland 20742
Telephone: 301-405-6441
http://www.languages.umd.edu/SpanishPortuguese/
Courses: SPAN SPAN
Related Programs and Campus Units
Latin American Studies Center
Comparative Literature
Survey Methodology (SURV)
Abstract
The Survey Methodology Program blends together faculty with diverse disciplinary backgrounds, all devoted to teaching
state-of-the-art practices in the statistical and methodological aspects of surveys. The program's faculty come primarily from
the University of Maryland, University of Michigan, and Westat, supplemented by instructors from a number of federal
statistical agencies.
SURV offers a Master of Science in Survey Methodology and a Ph. D. in Survey Methodology. Both degree programs have
two areas of concentration: Statistical Science and Social Science. The statistical science concentration is designed for
students who wish to specialize in areas such as sample design, estimation in complex samples, variance estimation,
statistical measurement error models, and statistical adjustments for missing data. The social science concentration is
designed for students who wish to specialize in areas such as questionnaire design, design of interviewing systems,
computer assisted data collection, modes of data collection, cognitive psychological applications to survey measurement,
and nonsampling error reduction. SURV also offers two certificate programs.
Admissions Information
Applicants to the M.S. program are expected to hold a baccalaureate degree from a regionally accredited institution with a
minimum of a "B" average. Post-baccalaureate coursework and relevant work experience will also be used in the application
evaluation. The GRE examination is required. Entry to the statistical science concentration requires three undergraduate
courses in calculus, one in linear algebra, and one in statistics. Entry to the social science concentration requires two
undergraduate quantitative courses, at least one of which is in statistics, and at least two undergraduate courses in the
social sciences.
Applicants to the Ph.D. program are expected to have a graduate degree in some field (such as statistics or psychology) that
is related to survey methodology. Applicants must also demonstrate an appropriate quantitative background. The GRE
examination is required.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 15
Application Requirements
1 . GRE General required for all applicants
2. Official transcript for all undergraduate courses
3. 3 Letters of Recommendation
4. Essay
Degree Requirements
Master of Science (M.S.)
SURV offers a non-thesis program, however students in both the statistical science and social science concentrations must
fulfill a research experience requirement, yielding a scholarly paper. This paper must be the result of either original research
conducted by the student, critical analysis, or evaluation of existing surveys.
Doctor of Philosophy (Ph.D.)
There are five major requirements for the Ph.D. Students must pass a qualifying examination (normally at the end of their
first year) and a comprehensive examination (normally at the end of their second year). They must participate in the Ph.D.
seminar for four semesters. They must meet a residency requirement. Finally, they must complete a dissertation.
282
Facilities and Special Resources
SURV has the goal of offering training to all qualified students, regardless of the employment sector of interest to them.
Several features of the program are designed with the working student in mind. Many class times are tailored to be
compatible with the work day; a 12-month curriculum offers core courses throughout the year; and research experience
requirements are integrated with work activities.
Courses have been offered at a Federal agency facility located in Washington, D.C. and interactive 2-way audio/video
transmission equipment is used to transmit some courses between the College Park campus and the Ann Arbor campus of
the University of Michigan, also - between College Park and the Census headquarters in Suitland, MD.
Financial Assistance
Financial assistance is available in the form of competitive fellowships and graduate assistantships for teaching or research.
Contact Information
For more information, contact: Rupa Jethwa Eapen (RJEapen@Survey.Umd.Edu).
Rupa Jethwa Eapen, Assistant to the Director
1218 LeFrak Hall
MD 20742
Telephone: (301)314-7911
Fax:(301)314-7912
survgrad@deans.umd.edu
http://www.jpsm.umd.edu/
Courses: SURV SURV
Sustainable Development and Conservation Biology (CONS)
Abstract
The principal objective of the CONS Program is to provide graduate training in Sustainable Development and Conservation
Biology. CONS is an interdisciplinary and experiential approach to the problems of biological conservation in relation to
economic development necessary to meet human needs.
The primary goals of the CONS program are: 1)to provide students with the knowledge and problem -solving skills necessary
to research and communicate solutions to global environmental issues; 2) to expand the reach of biodiversity conservation
and increasing sustainability; 3)to produce leaders and decision-makers in conservation science that are employed by
academia, in government, or non-governmental agencies (NGOs); and 4) to provide a service role to the state of Maryland,
the nation, local communities, and national and international NGOs and government agencies.
Specifically the program's objectives are to: provide broad, multidisciplinary training in the core areas of biological
conservation, resource economics, and policy analysis; and explicitly link the conflicting topics of sound conservation of
natural resources with sustainable development to meet human needs.
CONS graduates are well-prepared to address conservation issues for employers in the private sector and in local, state and
national government posts; and to enter Ph.D. programs for further, specialized training.
CONS offers a dual-degree program (PPCN) with the School of Public Policy, wherein students receive both Master of
Science and Master of Public Policy degrees. We also participate in the Masters International Program in conjunction with
the Peace Corps. For more information on our degree programs and academic requirements, please see our website at
http://cons.umd.edu/cons
Admissions Information
Admission to the CONS Program is competitive, and applicants tend to be a self-selecting group of high achievers.
Applicants must have an undergraduate degree and comply with all Graduate School guidelines to be considered for
admission, with the most competitive applicants demonstrating preparedness for graduate coursework in ecology, resource
economics, and public policy.
CONS has no formal course prerequisites for admission, but admission is highly competitive. CONS students have an
established record of excellence in their academic (e.g., high GRE and GPA scores, prestigious honors and awards) and
professional careers (e.g., relevant, real-world employment experience at NGOs, government agencies, research labs,
Peace Corps, internships). CONS students have leadership abilities, and evidence of research experience (e.g.,
publications, presentations, internships), and are good communicators. Successful applicants typically have a strong
background in biology, and are expected to have sufficient academic preparation to enroll in the required graduate level
courses in Ecology, Natural Resource Economics, and Environmental Policy. It is encouraged that incoming students have
taken one or more courses in statistics, other quantitative skills, mathematics, GIS analysis, economics, and/or have foreign
language skills. Many prospective applicants take one or more post-baccalaureate courses in preparation.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
Deadline: January 15
283
Type of Applicant
Fall
Spring
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Preferred: January 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Application Requirements
• UM Graduate School Online Application Form
• A brief (1 ,000-2,000 words) statement addressing two major themes:
I.The applicant's reasons and motivation for pursuing a graduate degree in CONS (e.g., future academic or career goals)
2. Experiences that have helped prepare the applicant for graduate work in CONS (e.g., coursework, research, employment, internships;
academic, professional, leadership, communication skills, knowledge, &/or preparedness)
• Three (3) Letters of Recommendation
• Transcripts: undergraduate, post-graduate programs
• GRE General Test scores sent from ETS (institution code: 5814)
• Curriculum Vitae
• International academic credentials (for international applicants): evidence of English proficiency, financial certification, visa documentation
(see the University's International Education Services website for further details)
Degree Requirements
Master of Science (M.S.)
This Master of Science Program was initiated in 1991 to provide new training and educational emphasis in the areas of
sustainable development and conservation biology. The program applies an interdisciplinary and experiential approach to
the challenges of biological conservation in relation to the economic development necessary to meet human needs. It
includes four components: (1) core courses in the areas of ecology and conservation biology, resource economics, public
policy, and multi-disciplinary problem solving; (2) elective courses from a wide array of disciplines; (3) an internship
experience relevant to the student's career interests; (4) a Scholarly Paper - a rigorous, quantitative analysis of an
Environmental Problem that synthesizes the student's coursework, internship experience, and career interests. Course
requirements for the program total 39 credits. This is intended to be a two-year program.
Dual Degree CONS and Public Policy Program (M.S./M.P.P)
In cooperation with the Maryland School of Public Policy, CONS offers the option of a 60-credit, dual-degree program. Upon
completion, graduates receive both a Master of Public Policy (with a specialization in Environmental Policy) and a Master of
Science in Sustainable Development and Conservation Biology. As environmental problems become more scientifically and
politically complex, employers and researchers in the environmental analysis and policy fields are increasingly looking to
hire graduates who are well-grounded in the natural and life sciences, the workings of the public, private and non-
government sectors, and the key policy analysis tools and concepts. In recognition of this demand, we have established this
dual degree program. This program benefits from the unique strengths of faculty in CONS by bringing together expertise in
policy and the life sciences, ecological economics, international development, and conservation biology. The program will
increase the number of top-notch students in classes offered within existing master's programs, help promote
interdisciplinary education by providing advanced knowledge of life sciences to policy students and advanced knowledge of
public policy to students in the life sciences, and expand opportunity for recruitment into Ph.D. programs in Public Affairs
and Biology, and re-affirm the university's leadership position in environmental education and research. For example, CONS
graduates have gone on to Ph.D. programs at UM in PUAF, AREC, MEES, and GEOG, as well as BIOL, and to a similar
array of programs at other universities. Course requirements for the program total 60 credits. This is intended to be a three-
year program.
Masters International (M.S.)
The Master's International program is a collaboration between the Peace Corps and university programs such as the CONS
program. It offers the opportunity to integrate a master's degree with overseas service. You would apply to the CONS
program first, and when accepted, submit your application to the Peace Corps. After completing your initial course work in
three semesters, and receiving your Peace Corps placement, you would begin Peace Corps training. Applicants to the Ml
program are reviewed with other CONS applicants, and receive the same degree. Your degree would be awarded after your
Peace Corps service (8 additional credits), at the end of a final semester during which you would submit your scholarly
paper based on your PC experience. Course requirements for the program total 39 credits. This is intended to be a three-
year program.
Facilities and Special Resources
The program is housed within the Department of Biology but is campus-wide in scope. We have strong connections with the
School of Public Policy because -30% of CONS students are enrolled in the dual degree PPCN program. We have strong
connections with AREC because CONS students take a required course in natural resource economics. All CONS students
complete an internship - either in a research lab, with an NGO, or with an agency - either in the DC area or further abroad.
All CONS students select a faculty advisor relevant to the topic of their scholarly paper, which results in many interactions
with environmental faculty in Anthropology, Entomology, Environmental Science & Technology, Latin American Studies,
MEES, Public Policy, Plant Sciences and Landscape Architecture, and other departments.
284
Financial Assistance
Students applying to the Program may be nominated for graduate fellowships or may be supported by teaching or graduate
assistantships. Fellowship and assistantship offers are made on the basis of past academic performance, financial need,
and potential to contribute to the program.
Contact Information
If you would like additional information on this program, please contact:
Dr. Karen Lips, Director
1201 Biology-Psychology Bldg. Department of Biology University of Maryland College Park
MD 20742-4415
Telephone: (301) 405-7409
Fax:(301)314-9358
consoffice @ umd.edu
http://cons.umd.edu/cons
Courses: CONS BIOL ENTM PUAF AREC PBIO GEOG ANTH
Related Programs and Campus Units
Entomology
Marine-Estuarine-Environmental Sciences
Biological Sciences
Anthropology
Biology
Theatre (THET)
Abstract
The School of Theatre, Dance, and Performance Studies offers graduate study leading to the degrees of Master of Arts in
Theatre and Performance Studies (THPF), Master of Fine Arts in Design (MFAT), Master of Fine Arts in Performance
(MFAP), Master of Fine Arts in Dance (DANC), and Doctor of Philosophy in Theatre and Performance Studies(THPF). For
more information on the MFA in Dance, please see the listing for Dance in the Graduate Catalog or visit www.tdps.umd.edu.
The M.A. program is designed to enhance and develop students' practical, historical, and critical knowledge of theatre so
that they may go on to graduate work in Ph.D. or M.F.A programs, or upgrade their skills for high-school teaching. The
School's application deadline for this program and the PhD program is December 15.
The three-year M.F.A in Design degree offers superior students advanced training and opportunities for creative activity. The
program prepares the student to enter the professional theatre or to teach in the creative areas at colleges or universities.
The areas of concentration are costume design, set design, and lighting design. The School's application for this program is
April 15 for domestic students and February 1 for international students.
The three-year M.F.A. in Performance will offer admission once every three years to experienced performers. This unique
world-class graduate program offers an array of studies and opportunities designed to explore the broad spectrum of
American and International performance traditions while laying the foundation for individual ground-breaking approaches to
personalized expression and teaching skills. We are looking for motivated visionaries with unique voices who would
appreciate a performance-based graduate program designed to guide and support them on their journey from idea to
revelation, from exploration to ownership. The School's application deadline for this program is April 15 for domestic
students and February 1 for international students.
The Ph.D. is an interdisciplinary degree that prepares teachers and scholars of theatre and performance studies. The
program offers students two interrelated areas of concentration: history and theory of theatre and drama, and theatre and
performance studies. Area studies in the history and theory of drama and theatre focus primarily on developments in
Western theatre and dramatic literature. Area studies in theatre and performance studies focus on a broad spectrum of
international and American performance traditions as seen through a flexible framework of interdisciplinary methods. The
purpose of this degree program is to educate scholars who can excel in the challenging and increasingly interdisciplinary
academic world, bringing research skills to bear on contemporary questions of theatre and performance.
Admissions Information
In addition to the Graduate School requirements, students desiring admission to the M.A. or Ph.D. program must provide
acceptable Graduate Record Examination scores, three letters of recommendation, prior academic transcripts, a statement
of interest, and a writing sample.
M.F.A. in Design applicants must provide portfolio, academic transcripts, and a statement of interest in addition to the
application for the Graduate School.
M.F.A. in Performance applicants must audition and also apply for admission; applications should include statement of
artistic purpose, portfolio, creative resume and teaching resume (if available). Details regarding the artistic statement will be
provided in the Application Supplemental Form, sent after students have applied to the general Graduate School.
In most cases if applicants do not have the equivalent of an undergraduate major in their field of interest, they must take
coursework in preparation for subsequent admission.
Application Deadlines
285
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Application Requirements
1 . 3 Letters of Recommendation
2. Statement of goals, research interests, and experience (Artistic statement for MFA in Performance)
3. MFA in Design, MFA in Performance: Portfolio required
4. Transcripts from all institutions
5. M.A. and Ph.D.: Writing Sample and GRE required
Degree Requirements
Master of Arts or Master of Fine Arts (M.A. or M.F.A.)
The Master of Arts requires a minimum of 33 credit hours. The Department offers both the thesis and non- thesis options. All
students undertaking the M.A. degree must pass a six-hour comprehensive examination on theatre history and criticism,
performance and directing, and design and technical theatre. Please contact the Head of History/Theory to get more details.
The M.F.A. in Design degree requires 60 credit hours. All students undertaking the M.F.A. degree must complete a thesis.
Please contact the Head of Design to get more details.
The M.F.A. in Performance degree requires 60 credit hours. All students undertaking the M.F.A. in Performance must
complete a final performance project. Please contact the Co-Directors of the M.F.A. in Performance for more details.
Doctor of Philosophy (Ph.D.)
Please contact the Head of History/Theory directly for more information.
Facilities and Special Resources
The School of Theatre, Dance, and Performance Studies is housed in the Clarice Smith Performing Arts Center at Maryland.
This state-of-the-art facility includes the 650-seat Ina and Jack Kay Theatre, the 200-seat Robert and Arlene Kogod Theatre
and the 1 00-seat Cafritz Theatre.
The campus is within a few miles of the John F. Kennedy Center for the Performing Arts, Arena Stage, the National Theatre,
Ford's Theatre, The Shakespeare Theatre at the Lansburgh, and the Olney Theatre Center, which are among more than 80
professional area theatres. Two of the greatest libraries in the world, the Library of Congress and the Folger Shakespeare
Library, are in close proximity to campus. Students also make regular use of the Smithsonian Institution, the Federal Theatre
Project Archives, the National Archives, and more than 50 specialized libraries and institutions in the Washington
metropolitan area.
Financial Assistance
The School nominates outstanding applicants for competitive University fellowships. Most aid, however, is in the form of
teaching assistantships for which students may apply directly.
Contact Information
For additional information on graduate study in Theatre at the University of Maryland, contact:
Professor Karen Bradley TDPS Director of Graduate Studies
School of Theatre, Dance, and Performance Studies
281 Clarice Smith Performing Arts Center
College Park, MD 20742
Telephone: (301) 405-0387
Fax:(301)314-9599
tdps@umd.edu
http://www.tdps.umd.edu
Ms. Stephanie Bergwall Graduate Secretary
School of Theatre, Dance, and Performance Studies 2809 Clarice Smith Performing Arts Center College Park,
MD 20740
Telephone: 301-405-6675
bergwall@umd.edu
www.tdps.umd.edu
Courses: THET
Urban Studies and Planning (CMPL)
286
Abstract
The Urban Studies and Planning Program offers graduate study leading to the Master of Community Planning (MCP)
degree. (The School of Architecture, Planning, and Preservation, in which the MCP program is located, offers a Ph.D. in
Urban and Regional Planning and Design -- see URPD for details). The MCP Program is accredited by the Planning
Accreditation Board of the American Institute of Certified Planners and the Association of Collegiate Schools of Planning.
Dual Masters degrees in Planning and Architecture, and Planning and Historic Preservation, are offered. There is a transfer
of credit agreement with the School of Law on the Baltimore campus as part of a joint JD and MCP program. There is also a
cooperative program with the PhD program in Policy Sciences at the UMBC campus. Entering students have diverse
academic backgrounds, such as architecture, fine arts, English, history, business, geography, sociology, economics, and
political science. The Program's faculty specialize in metropolitan and regional planning, housing, transportation planning,
environmental and land use planning, social policy, quantitative planning methods, urban design, and economic
development planning. Employment opportunities remain strong for graduates in a highly competitive field. The Baltimore-
Washington metropolitan region offers diverse employment potential in urban planning and program management in the
public, private, and non-profit sectors.
Admissions Information
To be competitive, applicants typically need a minimum undergraduate grade point average (GPA) of 3.2 on a 4.0 scale.
Applicants with a GPA of 3.5 or higher from an accredited university within the United States need not take the GRE. (In the
case of students who are working toward both the JD and MCP degrees, the LSAT will be accepted in place of the GRE.)
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, 1 and L visas and immigrants
Deadline: December 15
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Application Requirements
1 . Complete application form: (On-line version - www.gradschool.umd.edu)
2. Academic credentials (unofficial to academic unit):
3. Standardized test scores: Graduate Record Examination (GRE) - when required (see above)
4. Letters of Recommendation: Three confidential letters submitted by professors or others.
5. Statement of Goals, Research Interests, and Experiences: 1000-2000 word statement of graduate goals, research interests, and
experiences.
6. Resume
Degree Requirements
Master of Community Planning (M.C.P.)
Graduation requires satisfactory completion of 48 credits of course work. The 12 credits in core courses introduce students
to the foundations of city and regional planning, research methods, planning process, and planning history and theory. An
additional nine "spread" credits give students a grounding in physical, social and economic planning. Nine additional credits
are required for a specialization. Specializations include housing, economic development, social planning and management,
transportation planning, urban design, historic preservation, land use/environmental planning, and international planning. A
studio and internship are required. Courses are listed under URSP. The MCP program accepts up to nine credits from other
graduate programs. Applicants to this program should use the CMPL program code.
Ph.D. in Urban and Regional Planning and Design (Ph.D.)
The Ph.D. program in Urban and Regional Planning and Design (URPD) is offered by the School of Architecture, Planning
and Preservation. To apply to this program an applicant should have already earned a master's degree by the time of
intended enrollment. Applicants interested in the Ph.D. program may obtain information in this catalog under "Urban and
Regional Planning and Design (URPD)".
Dual Degree in Community Planning and Historic Preservation (CPHP)
The dual degree combines course work from the Urban Studies and Planning and Historic Preservation programs to enable
a student to complete both the Master of Community Planning and the Master of Historic Preservation with fewer credits
than it would take to complete the two separately. Applicants for the dual degree in Community Planning and Historic
Preservation should use the CPHP program code.
Juris Doctor / Master of Community Planning Joint Degree (LCPL)
The University of Maryland School of Law on the Baltimore campus (UMB) will accept up to 9 credits of MCP coursework
towards the J.D. degree, and the Urban Studies and Planning Program will accept up to 9 credits of J.D. coursework
towards the MCP degree. Applicants must apply to each program separately and be accepted by both programs. Credits
from the MCP program can be transferred to the Law program only if they are taken while the student is enrolled in the Law
program, and vice versa. Applicants interested in this joint program should use the LCPL code when applying to the Urban
Studies and Planning Program.
Joint Degree Program in Architecture and Community Planning (ARCP)
The joint degree combines course work from the Architecture and Urban Studies and Planning programs. Students of the
joint-degree program acquire specialized knowledge tailored to understanding the urban environment from several
287
perspectives. Students learn how social, economic, and political forces have led to the development of human habitats. The
emphasis on urban design in the joint degree program yields an education that is particularly applicable for persons
interested in the revitalization of metropolitan areas and their center cities. Applicants interested in this degree should
already have an undergraduate degree in architecture. Applicants for this joint degree should use the ARCP program code.
Facilities and Special Resources
The University of Maryland is an excellent location for the pursuit of community planning, and graduate students are
encouraged to take advantage of the opportunities. The university is eight miles from the incomparable library and research
facilities of Washington, D.C. In the nation's capital, UMCP graduate students have access to, among other resources, the
Library of Congress, the specialized collections of professional associations and international organizations, and agencies at
all levels of government. The College Park campus is a 45-minute drive from Baltimore City, whose planning programs have
gained national attention. At least two planning studio courses are offered each year, in either Baltimore, the Washington
metropolitan area, or an international setting. Baltimore city and Washington, D.C. are ideal laboratories for students
interested in research on urban issues and planning.
Urban Studies and Planning is one of five graduate degree programs in the University's School of Architecture, Planning,
and Preservation. The School administers the National Center for Smart Growth Research and Education, which involves
faculty and graduate students from several campus units in: multi-disciplinary research on the fiscal, environmental and
social impacts of alternative development patterns; evaluation of growth management strategies (with a focus on Maryland's
Smart Growth programs); technical assistance to state agencies and local jurisdictions; and training programs for federal
program managers and state and local officials.
Financial Assistance
Aside from student loans through the university, MCP students are eligible to apply for graduate assistantships with URSP
and the National Center for Smart Growth Research and Education. MCP applicants who are also Peace Corps veterans
are eligible to apply for the Shriver Peaceworker fellowship program that is administered by the University of Maryland
Baltimore County. Please note that competition is high for these financial awards. The program also maintains a list of
internships, and many of our students work in part- or full-time jobs while completing the program. Program classes are
scheduled to begin at 4:00 p.m. and 7:00 p.m. to accommodate our working students.
Contact Information
Contact the program at the following address:
CMPL Graduate Admissions
School of Architecture, Planning, and Preservation
University of Maryland
College Park, MD 20742
Or at the School of Architecture web site: www.arch.umd.edu
James Cohen, Ph.D.
Director of Graduate Studies
School of Architecture, Planning, and Preservation
University of Maryland
College Park
MD 20742
Telephone: (301) 405-6285
Fax:(301)314-9583
http://www.arch.umd.edu/planning/
Courses: ARCH URSP HISP
Related Programs and Campus Units
Historic Preservation Certificate
Architecture
Smart Growth Research and Education, National Center for
Urban and Regional Planning and Design
Real Estate Development
Landscape Architecture
Architecture and Real Estate Development
Urban and Regional Planning and Design (URPD)
Abstract
The School of Architecture, Planning, and Preservation offers a Doctoral Program, the Ph.D. in Urban and Regional
Planning and Design. Participating programs include Urban Studies and Planning, Architecture, Historic Preservation,
Landscape Architecture, and The National Center for Smart Growth Research and Education. The program prepares
students to teach at the university level in departments of Urban Planning, Architecture, Historic Preservation, or Landscape
Architecture, as well as qualifies graduates to conduct research and participate in high-level decision-making in the public,
private, and nonprofit sectors.
288
Admissions Information
Part 1 of the application is due on December 15
Part 2 of the application is due on January 2.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: January 2
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: January 2
Application Requirements
1 . Complete application form: (On-line version)
2. Academic credentials (unofficial to academic unit):
3. Standardized test scores: Graduate Record Examination (GRE)
4. Letters of Recommendation: Three confidential letters submitted by professors or others.
5. Statement of Goals, Research Interests, and Experiences: 1000-2000 word statement of graduate goals, research interests, and
experiences.
6. Resume
Degree Requirements
Urban and Regional Planning and Design (Ph. D.)
The Ph.D. in Urban and Regional Planning and Design is a 39-credit program. The program is highly selective and
individualized. Approximately seven students will be admitted each year. Adequately prepared students will generally need
four semesters of formal course work leading to comprehensive exams and all students are required to spend a minimum of
two years in residence. The program is designed as a full-time program to be completed in four years.
Students admitted to the doctoral program will be expected to have completed a master's degree in a related field including,
but not exclusively, urban planning, architecture, historic preservation or landscape architecture. Students are expected to
enter the Ph.D. program with two semesters of graduate level quantitative research methods. These courses can be taken
after entrance to the program and prior to their advanced methods course.
Financial Assistance
The School of Architecture, Planning, and Preservation, and The National Center for Smart Growth Research and Education
together anticipate three research assistantships available to Doctoral students. Compensation for assistantships includes
tuition remission for up to 10 credit hours per semester, plus a stipend. For more information contact the Doctoral Program.
Contact Information
Marie Howland, Ph.D.
School of Architecture, Planning, and Preservation,
College Park
MD 20740
Telephone: (301) 405-6791
Fax:(301)314-9583
arch-grad@deans.umd.edu
www.arch.umd.edu
Courses: URSP ARCH HISP
Related Programs and Campus Units
Architecture
Urban Studies and Planning
Historic Preservation
Smart Growth Research and Education, National Center for
Real Estate Development
Veterinary Medical Sciences (VMSC)
Note: Some courses in this program may require the use of animals. Please see the statement on Animal Care and Use and
the Policy Statement for Students in the Appendix.
Abstract
The Virginia-Maryland Regional College of Veterinary Medicine (VMRCVM) is the only truly regional veterinary college in the
United States. The College was established as a joint venture between two major land grant universities, the University of
Maryland and Virginia Polytechnic Institute and State University (Virginia Tech). The College has three major campuses:
The Avrum Gudelsky Veterinary Center in College Park, Maryland, the Marion Scott Dupont Equine Medical Center in
Leesburg, VA, and the main teaching hospital and research facility at Blacksburg, Virginia. The Maryland campus of the
VMRCVM focuses on research, education and outreach, and our faculty provide a myriad of related services throughout the
289
Mid-Atlantic region. Veterinary teaching hospitals are located in Blacksburg and Leesburg, VA. The Veterinary Medical
Sciences (VMSC) Graduate Program in the Maryland campus of the VMRCVM at the University of Maryland College Park
(UMCP) is a collaboration in graduate education and research between UMCP and Virginia Tech, providing benefits in
education and research from both universities. The VMSC Graduate Program includes faculty with a wide range of research
interests in the areas of cell biology, immunology, infectious and zoonotic diseases, and poultry health management and
disease prevention. The VMSC Graduate Program offers Master of Science (M.S.) and Doctor of Philosophy (Ph.D.)
degrees.
Admissions Information
Applicants with a veterinary degree (DVM or equivalent), a Bachelor of Science (for M.S. or PhD program applicants), or a
Master of Science (for most PhD applicants) in a biological science or related field of study are eligible for admission to the
VMSC Graduate Program.
A minimum Grade Point Average (GPA) of 3.0 on a 4.0 scale (B or better) and a minimum Graduate Record Examination
(GRE) combined score of 1 100 (verbal and quantitative) are required. The GRE score for either the verbal or quantitative
section should not be less than 400. The analytical score should not be less than 4. International applicants are required to
attain the minimum Test of English as a Foreign Language (TOEFL) score of 100 on the internet-based test (IBT) for
unconditional admission. In addition, the following sectional scores must be met: Speaking: 22; Listening: 26; Reading: 26;
and Writing: 26.
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: May 1
Deadline: September 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: February 1
Deadline: June 1
Application Requirements
The following materials must be submitted online or by mail to:
University of Maryland College Park
Enrollment Services Operations
Application for Graduate Admission
Rm 0130 Mitchell Building
College Park, MD 20742
1 . Application form and $75 non-refundable application fee
2. Official academic transcripts reflecting undergraduate and graduate work
3. TOEFL Scores (for international applicants whose native language is not English)
4. 3 Letters of recommendation
5. GRE scores (University of Maryland Institution Code is 5814)
6. Statement of career goals and research interests
In addition to the above requirements, applicants must submit to
the VMSC Graduate Program, a current resume or curriculum
vitae.
Degree Requirements
Master of Science (M.S.)
During the first semester the student selects an advisor, and with the help of the advisor forms an Advisory Committee with
the approval by the program's Graduate Education Committee. By the end of the second semester with the advice of the
Advisory Committee, the student files a proposed schedule of course work including at least one credit of seminar (VMSC
698). A minimum of 24 semester with hours of graduate courses and six hours of thesis research credit (VMSC 799) is
required for the degree. No less than 12 credits should be from courses 600 level or higher; at least 12 credits must be
earned in the major subject. Three credits of graduate biometrics or biochemistry and one seminar credit (VMSC 698) are
required. No more than two credits of Special Problems (VMSC 699) are acceptable as part of the 24 required course
credits. Students must maintain an overall GPA of 3.0 or better in courses taken for graduate credit. The committee may
require remedial courses if the student enters with inadequate prerequisites or deficiencies in the undergraduate program.
By the end of the second semester, a thesis research proposal must be approved and filed. The student must present the
thesis in a public seminar and pass a final oral examination given by the Advisory Committee. Details on the Graduate
School policy on the Master's Thesis Examination may be found in the Graduate School Catalog at
http://www.gradschool.umd.edu/catalog/masters_degree_policies.htm
The thesis must be submitted to the Graduate School in electronic format after final approval of the document by the Thesis
Examining Committee. See the University of Maryland Thesis and Dissertation Style Guide
(http://www.gradschool.umd.edu/etd) for the details of this process.
Students with adequate undergraduate training usually complete the master's degree within two years.
Doctor of Philosophy (Ph.D.)
Applicants with a D.V.M., M.D., or equivalent or related degree in biological sciences plus a M.S. degree may be admitted to
the Ph.D. program. In exceptional cases, admission to the Ph.D. program without a M.S. degree may be considered but
290
these candidates must complete a minimum of 24 hours of course work. Ph.D. candidates who have previously completed
the D.V.M. and/or M.S. degree must meet the minimum course requirements of 12 credits, and a minimum of twelve
dissertation research credits (VMSC 899). No more than two credits of Special Problems (VMSC 699) are acceptable as
part of the 12 required course credits. Two additional seminar credits (VMSC 698) are required.
Students are required to register for one seminar credit (VMSC 698) each academic year. Two seminar credits will be
counted toward degree requirements. All students are expected to attend seminars regularly.
Students are required to take a written and oral comprehensive examination and submit and defend their Ph.D. dissertation
in partial fulfillment of the doctoral degree (see below).
During the first semester, the student selects an advisor and with the help of the advisor forms an Advisory Committee. The
Advisory Committee and the student must meet by the end of the second semester to approve the student's plan of study.
By the end of the second semester the student will submit to the Advisory Committee a dissertation research proposal. An
oral and written comprehensive examination is required for advancement to candidacy. Prior to the final dissertation, an oral
examination is required for advancement to candidacy. A student must be admitted to candidacy for the doctorate within five
years after admission to the doctoral program and at least six months before the date on which the degree will be conferred.
It is the responsibility of the student to submit an application for admission to candidacy when all the requirements for
candidacy have been fulfilled. Applications for admission to candidacy are made in duplicate by the student and submitted to
the graduate program for further action and transmission to the Graduate School . Application forms may be obtained at the
Graduate School, Room 2123, Lee Building, or on the web. Paperwork must be received by the Graduate School prior to the
25th of the month in order for the advancement to become effective the first day of the following month. Doctoral candidates
are automatically registered for six (6) credits of Doctoral Dissertation Research (899), for which they pay the flat candidacy
tuition.
Prior to the final dissertation oral examination, the candidate must present a public seminar. Details on the Graduate School
policy on the Doctoral Dissertation and Examination may be found in the Graduate School Catalog at:
http://www.gradschool.umd.edu/catalog/doctoral_degree_policies.htm
Dissertations are to be submitted to the Graduate School in electronic format after final approval of the dissertation by the
Dissertation Examining Committee. See the University of Maryland Electronic Thesis and Dissertation (ETD) website at
http://dissertations.umi.com/umd or the University of Maryland Thesis and Dissertation Style Guide
(http://www.gradschool.umd.edu/styleguide) for the details of this process.
Facilities and Special Resources
The Avrum Gudelsky Veterinary Center, the University of Maryland home of the VMRCVM, lies in the heart of Maryland's
thriving biotechnology community, and is near Maryland's major university research campuses and government laboratories,
including the USDA Beltsville Agriculture Research Center, the National Institutes of Health, and Walter Reed Armed Forces
Institute of Pathology. The Center contains 32,000 square feet of research and support laboratories, including animal care
facilities. The 10,000 square-foot research laboratories are fully equipped with state-of-the-art facilities for molecular biology
research, cell culture facilities, a confocal microscope, a fluorescence activated cell sorter, and a sophisticated electron
microscope suite. Approximately 18,000 square feet of space comprise Biological Safety Levels 2 and 3 facilities and
facilities for laboratory animals and poultry. The poultry unit has 15 rooms for housing poultry, each equipped with 20 poultry
isolators to contain any infectious pathogens and maintain a disease-free environment. The animal facility has a fully
equipped necropsy room designed for postmortem analysis.
The Avrum Gudelsky Veterinary Center also houses the drug-testing laboratory of the Maryland Horse Racing Commission,
and the Poultry Research and Development Unit of Synbiotics Corporation. This co-location facilitates active collaboration in
both applied and basic research on diseases of animals.
The Laboratory for Biological Ultrastructure in the Department of Biology is equipped with a transmission and scanning
electron microscope, a confocal light microscope, ultramicrotomes, and equipment for freeze-fracture studies. The
Department of Cell Biology and Molecular Genetics has a Fluorescence-Activated Cell Sorter for supporting cell biology
research.
The campus has Central Animal Resource Facilities (CARF) for maintaining laboratory animals to facilitate animal research.
Extensive library facilities are available on campus. In addition, the College Park campus is close to the National Agricultural
Library (NAL) and the National Library of Medicine (NLM). The Library of Congress and the National Archives, along with
several other libraries of biomedical research and academic institutes, are located within a short driving distance.
Computer facilities at the University of Maryland are outstanding. Veterinary Medicine provides computer access to all
faculty and graduate students. Students are provided with e-mail accounts and free Internet access. The campus maintains
both Unix and mainframe systems, and access to supercomputers for specific research projects. Software for graphics,
modeling, statistics, and the analysis of molecular data is readily available.
The College Park campus is also ideally situated near a number of federal agencies involved in veterinary medical sciences.
Collaborative initiatives are underway with the U.S. Food and Drug Administration's Centers for Veterinary Medicine (CVM)
and Food Safety and Applied Nutrition (CFSAN); U.S. Department of Agriculture's Animal and Plant Health Inspection
Services (APHIS), Food Safety and Inspection Service (FSIS), Agricultural Research Service (ARS), and Beltsville
Agriculture Research Service (BARC); National Institutes of Health (NIH); Walter Reed Armed Forces Institute of Pathology
(AFIP); World Bank; and Pan American Health Organization (PAHO). Scientists from some of these agencies have adjunct
appointments with the College of Veterinary Medicine and participate on students' graduate committees.
Financial Assistance
A number of graduate assistantships are available and awarded to candidates with strong academic records.
Teaching Assistantships, Research Assistantships, Scholarships, and Fellowships are available on a competitive basis.
Research Assistantships (RAs) are 12-month appointments and Teaching Assistantships (TAs) are 10-month appointments.
291
Benefits for each assistantship include stipends, ten credits of tuition remission per semester, and health benefits. Generally,
student assistantships are offered for two years for an M.S. degree and four years for a Ph.D. degree.
Sources of funding include the VMRCVM; Maryland Agricultural Experiment Station; Maryland Cooperative Extension;
College of Agriculture and Natural Resources; Graduate School open-nomination and block grant fellowships (for
recruitment of new students only); University diversity fellowships; endowed fellowships; and funds from faculty research
contracts and grants. Inquiry about the stipends can be made to the VMSC Graduate Program.
Contact Information
Contact the members of the Graduate studies committee and the VMSC graduate program
Website http://www.gradschool.umd.edu/catalog/programs/VMSC.html
Dr. Xiaoping Zhu,
Associate Professor and Director, VMSC Graduate Program
Avrum Gudelsky Veterinary Center,
8075 Greenmead Drive,
College Park
MD 20742
Telephone: (301) 314-6814
Fax:(301)314-6855
xzhul@umd.edu
http://www.gradschool.umd.edu/catalog/programs/VMSC.html
Courses: VMSC BIOM BCHM ANSC MICB MOCB
Related Programs and Campus Units
Animal Sciences
Maryland Cooperative Extension & Agricultural Experiment Station
Government and Corporate Veterinary Medicine Center
Virginia-Maryland Regional College of Veterinary Medicine
Biological Sciences
Virginia-Maryland Regional College of Veterinary Medicine
Women's Studies (WMST)
Abstract
Women's Studies offers an interdisciplinary and integrative program of study leading to the Master of Arts and Doctor of
Philosophy degrees. Students will be expected to develop a thorough grounding in the new scholarship on women; acquire
an understanding of gender as a category of analysis; analyze and assess theories about the role of gender in systems of
hierarchy and its intersection with other categories of difference, such as race, ethnicity, religion, class, sexuality, disability,
and age; develop competence in women's studies theories, research methods, and pedagogy, including issues related to
women's diversity nationally and globally; and achieve competence in a selected area of specialization.
Admissions Information
Application requirements include a Graduate School application, application fee, official transcripts, GRE scores, (3) letters
of recommendation with rating sheets, scholarly writing sample with footnotes approximately 10 pages in length, personal
statement of purpose, including research interests, and a CV or resume. Also, please do not submit supporting documents
until you have completed and submitted your application. Additional requirements for International students can be found at
the following website: www.gradschool.umd.edu/prospective_students/international_admissions.html
Application Deadlines
Type of Applicant
Fall
Spring
Domestic Applicants; US Citizens and
Permanent Residents with foreign credentials;
International Applicants seeking admissions
under A, E, G, H, I and L visas and immigrants
Deadline: December 1
Preferred: December 1
International Applicants seeking admission
under F (student) or J (exchange visitor) visas
Deadline: December 1
Preferred: December 1
Application Requirements
1 . Graduate School application and fee
2. GRE Scores
3. Official transcripts of all undergraduate and graduate work
4. 3 Letters of Recommendation with ranking sheets
5. 10 page (maximum) Writing Sample
6. personal statement of purpose and experience, including research interests
7. CV. or resume
292
Degree Requirements
Master of Arts (M.A.)
Women's Studies does not have a stand-alone M.A. program. However, on the way to a Ph.D., students who have
completed the appropriate coursework may request conferral of a master's degree. Students will begin the graduate
program with a sequence of courses that include the required core interdisciplinary courses for a total of 31 credits and finish
with either the completion of a thesis or the 'general' examination. This portion of the program stresses interdisciplinary
Master's courses offered in the Department of Women's Studies.
Doctor of Philosophy (Ph.D.)
Students' continued participation in the doctoral program is dependent upon the quality of their coursework, research and
writing, the completion of an interdisciplinary paper and successfully passing exams. After successfully completing the M.A.
portion of the program, students will meet with a committee of advisors to determine the balance of their program of
coursework. A full complement of courses is oriented toward two enterprises: 1 ) developing a major field and passing a
major field exam, i.e. an interdisciplinary topical inquiry, that will support the dissertation; and 2) developing the research
skills of two methodologies. In addition, students must and demonstrate reading competency in a language other than
English, relevant to their course of study. Students entering with a bachelor's degree are required to complete a minimum of
54 credits, including 12 dissertation research credits. Students entering with a graduate certificate in Women's Studies or a
master's degree are required to take a minimum of 34 credits, including 12 dissertation research credits.
Facilities and Special Resources
Resources for research in the College Park and Washington D.C. are unsurpassed. The University's libraries hold around
2,500,000 volumes. In addition to the outstanding holdings of the Library of Congress, the area also offers the specialized
resources of the National Archives and Archives II (located on the grounds of the University of Maryland); the Smithsonian
Institution; the National Museum of Women in the Arts; and a broad array of public policy organizations and "think-tanks,"
such as the Institute for Women's Policy Research, Women's Legal Defense Fund, National Organization for Women,
American Association of University Women, Women's Research and Education Institute, National Women's Law Center,
American Council on Education's Office of Women in Higher Education, Business and Professional Women's Foundation,
Center for Policy Alternative's Women's Policy and Programs, Center for Women's Policy Studies, Feminist Majority,
General Federation of Women's Clubs International's Women's History and Resources Center, International Center for
Research on Women, National Association for Women in Education, Program on the Studies and Education on Women of
the Association of American Colleges and Universities, and the Union Institute Center for Women, plus the many research
and policy institutes with include gender issues as part of their agenda.
The National Women's Studies Association, the professional association of the discipline, and one of the leading scholarly
journals in our field, Feminist Studies, are both located on or near our campus. Some graduate students may be able to
broaden their experience and enhance the applicability and marketability of their coursework by undertaking internships in
these two organizations.
The University of Maryland Consortium on Race, Gender and Ethnicity offers grant-getting potential and otherwise support
the research endeavors of faculty in these fields. Grants funded through the Consortium will provide additional funding for
Women's Studies graduate students and invaluable research experience. High enrollment demand in Women's Studies
introductory CORE courses provide yet another opportunity for funding graduate student's programs of study with teaching
assistantships.
Financial Assistance
The Women's Studies Department awards a small number of recruitment fellowships to selected candidates. Women's
Studies also awards teaching assistantships, research assistantships, and administrative assistantships, are the primary
forms of financial aid. These assistantships carry a stipend, benefits, and remission of tuition up to ten credit hours each
semester.
Contact Information
Additional information on admission, degree requirements, and financial aid can be obtained from:
Cliffornia Howard/Program Management Assistant
2101 Woods Hall
MD 20742
Telephone: (301) 405-6877
Fax:(301)314-9190
womensstudies@umd.edu
http://www.womensstudies.umd.edu
Courses: WMST
293
Chapter 22: Graduate Courses
Afro- American
Studies (AASP)
AASP 400 Directed Readings in
African American Studies (3
credits)
Prerequisite: AASP100 or AASP202.
The readings will be directed by the faculty of
African American Studies. Topics to be
covered will be chosen to meet the needs and
interests of individual students.
AASP 402 Classic Readings in
African American Studies (3
credits)
Prerequisite: AASP100 or AASP202.
Classic readings of the social, economic and
political status of blacks and other minorities
in the United States and the Americas.
AASP 411 Black Resistance
Movements (3 credits)
Prerequisite: AASP100.
A comparative study of the black resistance
movements in Africa and America; analysis of
their interrelationships as well as their impact
on contemporary pan-Africanism.
AASP 441 Science, Technology,
and the Black Community (3
credits)
Prerequisite: AASP100 or AASP202 or
HIST255 or permission of department.
Scientific knowledge and skills in solving
technological and social problems, particularly
those faced by the black community.
Examines the evolution and development of
African and African American contributions to
science. Surveys the impact of technological
changes on minority communities.
AASP 443 Blacks and the Law (3
credits)
Prerequisite: AASP 100 or AASP202 or
HIST255 or permission of department.
The relationship between black Americans and
the law, particularly criminal law, criminal
institutions and the criminal justice system.
Examines historical changes in the legal status
of blacks and changes in the causes of racial
disparities in criminal involvement and
punishments.
AASP 468 Special Topics in
Africa and the Americas (3
credits)
Repeatable to 6 credits if content differs.
Cultural, historical and artistic dimensions of
the African experience in Africa and the
Americas.
AASP 478 Humanities Topics in
African American Studies (3
credits)
Repeatable to 6 credits if content differs.
Advanced studies in the humanities, often
requiring prerequisites, focusing on the
literary, artistic and philosophical
contributions of Africans and African
Americans.
AASP 483 Gender, Sexuality and
the Black Family (3 credits)
Prerequisite: AASP100. Credit will be
granted for only one of the following:
AASP483 or AASP498F. Formerly"
AASP498F.
Examining the historical, economic, social,
and scholarly construction of African
American family structures. The
problematization of "Black matriarchy,"
hetero- and homosexuality, bi-racialism, and
other efforts to "normalize" African
Americans to conform to Eurocentric and
religious concepts of family will be critically
analyzed.
AASP 493 Feminist and
Nationalist Thought in Black
Communities (3 credits)
Prerequisite: AASP100 or AASP101.
Credit will be granted for only one of the
following: AASP493 or AASP499W.
Formerly AASP499W.
The historical and theoretical foundations of
feminist and nationalist thought in Black
Communities will be examined. Further, we
will discover why feminist and nationalist
thought has been routinely ignored or
misrepresented as disparate, if not
oppositional, themes in Black intellectual and
political life.
AASP 498 Special Topics in
Black Culture (3 credits)
Prerequisite: AASP100 or AASP202.
Repeatable to 6 credits if content differs.
Advanced study of the cultural and historical
antecedents of contemporary African and
African American society. Emphasis on the
social, political, economic and behavioral
factors affecting blacks and their communities.
Topics vary.
AASP 499 Advanced Topics in
Public Policy and the Black
Community (3 credits)
Prerequisite: AASP301 or permission of
department. Repeatable to 6 credits if
content differs.
Examination of specific areas of policy
development and evaluation in black and other
communities. Application of advanced tools of
policy analysis, especially quantitative,
statistical and micro-economic analysis.
AASP 602 Interdisciplinary
Research Methods in Afro-
American Studies (3 credits)
Prerequisite: permission of AASP or EDPL
department.
The puipose of this course is to familiarize
graduate students with both the
interdisciplinary and multidisciplinary
approaches that academics employ when
producing scholarship in the field of Afro-
American Studies. This will examine the
contours of the field with an emphasis on work
in both the social sciences and the arts and
humanities.
AASP 611 Classic Texts and
Contemporary Issues (3
credits)
Prerequisite: permission of department.
Through a review and analysis of classic texts
of Black intellectuals, artists, writers, and
activists, students will examine the compelling
themes in African American life and
scholarship.
AASP 621 Public Policy and
Black Communities (3 credits)
Prerequisite: permission of department.
This course explores the role of race in social
policy formation and emphasizes the
importance of both political institutions and
economic relations as determinants of the
policy making process and context.
Asian American
Studies (AAST)
AAST 420 Asian American
Women: The Social
Construction of Gender (3
credits)
Also offered as WMST420. Not open to
students who have completed WMST420.
Credit will be granted for only one of the
following: AAST420 or WMST420.
Examines the intersection of gender, race and
class as it relates to Asian American women in
the United States; how institutionalized
cultural and social statuses of gender, race,
ethnicity and social class produce and
reproduce inequality in the lives of Asian
American women.
AAST 424 Sociology of Race
Relations (3 credits)
Prerequisite: Six credits in sociology or
permission of department. Also offered as
SOCY424. Not open to students who have
completed SOCY424. Credit will be
granted for only one of the following:
AAST424 or SOCY424.
Analysis of race-related issues, with a primary
focus on American society. The historical
emergence, development, and
institutionalization of racism; the impact of
racism on its victims; and racially based
conflict.
AAST 498 Advanced Topics in
Asian American Studies (3
credits)
Repeatable to 6 credits if content differs.
Advanced study of the cultural and historical
antecedents of contemporary Asian American
society. Emphasis on the social, political,
economic, and behavioral factors affecting
Asian Americans and their communities.
AAST 499 Senior Thesis (3
credits)
Prerequisite: AAST200; AAST201;
permission of department. For AAST
majors only. Repeatable to 6 credits if
content differs.
Under the supervision of faculty, research
regarding a specific topic of the Asian
American experience will be completed.
Agriculture and
Natural Resources
(AGNR)
AGNR 400 International
Agricultural Extension and
Development (3 credits)
Formerly AGRI400.
Examination of the social and ethical issues
that shape extension's role in the agriculture
sector of countries worldwide and that
determine its contribution to international
development. Review of a wide range of
294
literature from scholars, governments, and
international organizations.
AGNR 422 International
Agriculture Science and Culture
(6 credits)
Four hours of lecture and six hours of
discussion/recitation per week.
Prerequisite: Permission of department.
Immersion-based, intensive course of study in
a foreign agricultural education setting. UM
Students will study with local students in a
variety of University classes and field
experiences in agriculture, natural resources
and environmental sciences, laboratory
science, economics, education technology, etc.
Students will learn customs, culture and
language of the host country.
AGNR 423 Exploring
International Agriculture (3
credits)
Prerequisite: Permission of department. Not
open to students who have completed
AGNR422.
Immersion-based, intensive course of study in
a foreign agricultural setting. Students may
expect to have university classes and field
experiences in one or more agriculture and
natural resource disciplines. Students will
learn about the culture and customs of the host
country as well as undertake at least an
introductory language course.
AGNR 489 Field Experience (1-4
credits)
Prerequisite: Permission of department.
Repeatable to 4 credits if content differs.
Formerly AGRI489.
Credit according to time scheduled and
organization of the course. A lecture series
organized to study in depth a selected phase of
agriculture not normally associated with one
of the existing programs.
AGNR 499 Special Problems (1-
3 credits)
Formerly AGRI499.
AGNR 606 Program Planning
and Evaluation in Agricultural
Education (2-3 credits)
Formerly AGRI606.
Second semester. Analysis of community
agricultural education needs, selection and
organization of course content, criteria and
procedures for evaluating programs.
AGNR 630 Teaching-Learning in
Adult and Continuing Education
(3 credits)
Formerly AGRI630.
The teaching/learning process in adult
continuing education. Instructional techniques
and methodologies appropriate for adults. The
curriculum development process. Issues and
priorities in adult continuing education.
AGNR 661 Rural Community
Analysis (3 credits)
Formerly AGRI661.
Communities as social systems composed of
organizations which interact in a system of
cultural institutions, norms, and values.
Functional and structural linkages between
organizations within as well as outside the
community; rural vs. urban similarities and
differences; and the role of the social
processes such as competition, cooperation
and conflict in the context of community
power and leadership structure.
AGNR 699 Special Problems (1-
3 credits)
Formerly AGRI699.
AGNR 789 Special Topics (1-3
credits)
Repeatable to 9 credits if content differs.
Formerly AGRI789.
AGNR 798 Seminar in Rural
Education (1-3 credits)
Repeatable to 8 credits if content differs.
Formerly AGRI798.
Problems in the organization, administration,
and supervision of the several agencies of rural
and/or vocational education.
AGNR 799 Master's Thesis
Research (1-6 credits)
Formerly AGRI799.
AGNR 888 Apprenticeship in
Education (1-8 credits)
Prerequisites: experience, a master's
degree, and at least six semester hours in
education at the University of Maryland.
Formerly AGRI888.
Apprenticeships in the major area of study are
available to selected students whose
application for an apprenticeship has been
approved by the education faculty. Each
apprentice is assigned to work for at least a
semester full-time or the equivalent with an
appropriate agency. The sponsor of the
apprentice maintains a close working
relationship with the apprentice and the other
persons involved.
AGNR 889 Internship in
Education (3-8 credits)
Formerly AGRI889.
Internships in the major area of study for
experienced students who are assigned to an
appropriate school system, educational
institution, or agency in a situation different
than that in which the student is regularly
employed.
AGNR 899 Doctoral Dissertation
Research (1-8 credits)
Formerly AGRI899.
Agronomy (AGRO)
AGRO 789 Advances in
Agronomy Research (1-4
credits)
Prerequisite: permission of department.
Repeatable to 4 credits if content differs.
A study of recent advances in agronomy
research.
Applied Mathematics
& Scientific
Computation (AMSC)
AMSC 420 Mathematical
Modeling (3 credits)
Prerequisite: MATH241, MATH246,
STAT400, MATH240 or MATH461 ; and
permission of department. Also offered as
MATH420. Credit will be granted for only
one of the following: AMSC420,
MAPL420, or MATH420. Formerly
MAPL420.
The course will develop skills in mathematical
modeling through practical experience.
Students will work in groups on specific
projects involving real-life problems that are
accessible to their existing mathematical
backgrounds. In addition to the development
of mathematical models, emphasis will be
placed on the use of computational methods to
investigate these models, and effective oral
and written presentation of the results.
AMSC 452 Introduction to
Dynamics and Chaos (3 credits)
Prerequisites: MATH240 and MATH246.
Also offered as MATH452. Credit will be
granted for only one of the following:
AMSC452, MAPL452, or MATH452.
Formerly MAPL452.
An introduction to mathematical dynamics and
chaos. Orbits, bifurcations, Cantor sets and
horseshoes, symbolic dynamics, fractal
dimension, notions of stability, flows and
chaos. Includes motivation and historical
perspectives, as well as examples of
fundamental maps studied in dynamics and
applications of dynamics.
AMSC 460 Computational
Methods (3 credits)
Prerequisites: MATH240; and MATH241;
and CMSC106 or CMSC1 14 or ENEE1 14.
Also offered as CMSC460. Credit will be
granted for only one of the following:
AMSC/CMSC/MAPL460 or
AMSC/CMSC/MAPL466. Formerly
MAPL460.
Basic computational methods for interpolation,
least squares, approximation, numerical
quadrature, numerical solution of polynomial
and transcendental equations, systems of linear
equations and initial value problems for
ordinary differential equations. Emphasis on
methods and their computational properties
rather than their analytic aspects. Intended
primarily for students in the physical and
engineering sciences.
AMSC 466 Introduction to
Numerical Analysis I (3 credits)
Prerequisites: MATH240; and MATH241;
and CMSC106 or CMSC1 14 or ENEE1 14.
Also offered as CMSC466. Credit will be
granted for only one of the following:
AMSC/CMSC/MAPL460 or
AMSC/CMSC/MAPL466. Formerly
MAPL466.
Floating point computations, direct methods
for linear systems, interpolation, solution of
nonlinear equations.
AMSC 477 Optimization (3
credits)
Prerequisites: (AMSC/CMSC/MAPL460,
or AMSC/CMSC/MAPL466 or
AMSC/CMSC/MAPL467) with a grade of
C or better. Also offered as CMSC477.
Credit will be granted for only one of the
following: AMSC477, CMSC477 or
MAPL477. Formerly MAPL477.
Linear programming including the simplex
algorithm and dual linear programs, convex
sets and elements of convex programming,
combinatorial optimization, integer
programming.
AMSC 498 Selected Topics in
Applied Mathematics (1-3
credits)
Repeatable to 6 credits if content differs.
Formerly MAPL498.
Topics in applied mathematics of special
interest to advanced undergraduate students.
AMSC 600 Advanced Linear
Numerical Analysis (3 credits)
295
Prerequisite: AMSC/CMSC/MAPL 666 or
permission of instructor. Also offered as
CMSC 760. Credit will be granted for only
one of the following: AMSC 600, CMSC
760 or MAPL 600. Formerly MAPL600.
Advanced topics in numerical linear algebra,
such as dense eigenvalue problems, sparse
elimination, iterative methods, and other
topics.
AMSC 607 Advanced Numerical
Optimization (3 credits)
Prerequisite: MATH 410 or permission of
instructor, Also offered as CMSC764.
Credit will be granted for only one of the
following: AMSC607, CMSC764, or
MAPL607. Formerly MAPL607.
Modern numerical methods for solving
unconstrained and constrained nonlinear
optimization problems in finite dimensions.
Design of computational algorithms and the
analysis of their properties.
AMSC 612 Numerical Methods
in Partial Differential Equations
(3 credits)
Prerequisite: a graduate level one semester
course in partial differential equations or a
theoretical graduate level course in applied
field such as fluid mechanics; or
permission of instructor. Credit will be
granted for only one of the following:
AMSC 612 or MAPL 612. Formerly
MAPL612.
Finite difference methods for elliptic,
parabolic, and hyperbolic partial differential
equations. Additional topics such as spectral
methods, variational methods for elliptic
problems, stability theory for hyperbolic
initial-boundary value problems, and solution
methods for conservation laws.
AMSC 614 Mathematics of the
Finite Element Method (3
credits)
Prerequisite: one semester graduate level
course in partial differential equations; or
permission of instructor. Credit will be
granted for only one of the following:
AMSC 614 or MAPL 614. Formerly
MAPL614.
Variational formulations of linear and
nonlinear elliptic boundary value problems;
formulation of the finite element method;
construction of finite element subspaces; error
estimates; eigenvalue problems; time
dependent problems.
AMSC 660 Scientific Computing
I (3 credits)
Prerequisite: AMSC/CMSC/MAPL 460,
AMSC/CMSC/MAPL466, or knowledge of
basic numerical analysis (linear equations,
nonlinear integration, interpolation) with
permission of instructor. Also offered as
CMSC 660. Credit will be granted for only
one of the following: AMSC 660, CMSC
660 or MAPL 660. Formerly MAPL660.
Monte Carlo simulation, numerical linear
algebra, nonlinear systems and continuation
method, optimization, ordinary differential
equations. Fundamental techniques in
scientific computation with an introduction to
the theory and software of each topic.
AMSC 661 Scientific Computing
II (3 credits)
Prerequisite: AMSC/CMSC/MAPL 460 or
AMSC/CMSC/MAPL 466 or knowledge of
basic numerical analysis (linear equations,
nonlinear equations, integration,
interpolation) with permission of instructor.
Knowledge of C or Fortran. Also offered as
CMSC 661. Credit will be granted for only
one of the following: AMSC 661, CMSC
66 1 or MAPL 66 1 . Formerly MAPL66 1 .
Fourier and wavelet transform methods,
numerical methods for elliptic partial
differential equations, numerical linear algebra
for sparse matrices. Finite element methods,
numerical methods for time dependent partial 1
differential equations. Techniques for
scientific computation with an introduction to
the theory and software for each topic. Course
is part of a two course sequence (660 and
661), but can be taken independently.
AMSC 662 Computer
Organization and Programming
for Scientific Computing (3
credits)
Prerequisite: AMSC/CMSC/MAPL 460,
AMSC/CMSC/MAPL 466, or knowledge
of basic numerical analysis (linear
equations, nonlinear equations, integration,
interpolation) with permission of instructor.
Knowledge of C or Fortran. Also offered as
CMSC 662. Credit will be granted for only
one of the following: AMSC 662 or CMSC
662.
This course presents fundamental issues of
computer hardware, software, parallel
computing, and scientific data management for
programming for scientific computation.
AMSC 663 Advanced Scientific
Computing I (3 credits)
Prerequisite: AMSC/CMSC/MAPL 660,
AMSC/CMSC/MAPL 661, and permission
of instructor. Also offered as CMSC 663.
Credit will be granted for only one of the
following: AMSC 663 or CMSC 663.
In the sequence MAPL 663, MAPL 664
students work on a year-long individual
project to develop software for a scientific task
in a high performance computing
environment. Lectures will be given on
available computational environments, code
development, implementation of parallel
algorithms.
AMSC 664 Advanced Scientific
Computing II (3 credits)
Prerequisite: AMSC 663 and permission of
instructor, Also offered as CMSC 664.
Credit will be granted for only one of the
following: AMSC 664 or CMSC 664.
In the sequence MAPL 663, MAPL 664
students work on a year-long individual
project to develop software for a scientific task
in a high performance computing
environment. Lectures will be given on code
development and validation, parallel
algorithms for partial differential equations,
nonlinear systems, optimization.
AMSC 666 Numerical Analysis I
(3 credits)
Prerequisites: AMSC/CMSC/MAPL 466;
and MATH 410. Also offered as CMSC
666. Credit will be granted for only one of
the following: AMSC 666, CMSC 666 or
MAPL 666. Formerly MAPL666.
Interpolation and approximation, numerical
integration, solution algorithms for nonlinear
systems of equations, numerical optimization.
AMSC 667 Numerical Analysis II
(3 credits)
Prerequisite: AMSC/CMSC/MAPL 666.
Also offered as CMSC 667. Credit will be
granted for only one of the following:
AMSC 667, CMSC 667 or MAPL 667.
Formerly MAPL667.
Numerical solution of initial value problems,
numerical solution of boundary value
problems, iterative methods for linear systems
of equations, numerical methods for
eigenvalue problems.
AMSC 670 Ordinary Differential
Equations I (3 credits)
Prerequisite: MATH 405; and MATH 410
or equivalent. Also offered as MATH 670.
Credit will be granted for only one of the
following: AMSC 670, MAPL 670 or
MATH 670. Formerly MAPL670.
Existence and uniqueness, linear systems
usually with Floquet theory for periodic
systems, linearization and stability, planar
systems usually with Poincare-Bendixson
theorem.
AMSC 671 Ordinary Differential
Equations II (3 credits)
Prerequisite: MATH630; and
AMSC/MAPL/MATH670 or equivalent.
Also offered as MATH671. Credit will be
granted for only one of the following:
AMSC67 1 , MAPL67 1 or MATH67 1 .
Formerly MAPL671.
The content of this course varies with the
interests of the instructor and the class.
Stability theory, control, time delay systems,
Hamiltonian systems, bifurcation theory, and
boundary value problems.
AMSC 673 Partial Differential
Equations I (3 credits)
Prerequisite: MATH 41 1 or equivalent.
Also offered as MATH 673. Credit will be
granted for only one of the following:
AMSC 673, MAPL 673 or MATH 673.
Formerly MAPL673.
Analysis of boundary value problems for
Laplace's equation, initial value problems for
the heat and wave equations. Fundamental
solutions, maximum principles, energy
methods. First order nonlinear PDE,
conservation laws. Characteristics, shock
formation, weak solutions. Distributions,
Fourier transform.
AMSC 674 Partial Differential
Equations II (3 credits)
Prerequisite: AMSC/MAPL/MATH673 or
permission of instructor. Also offered as
MATH674. Credit will be granted for only
one of the following: AMSC674,
MAPL674 or MATH674. Formerly
MAPL674.
Boundary value problems for elliptic partial
differential equations via operator -theoretic
methods. Hilbert spaces of functions. Duality,
weak convergence. Sobolev spaces. Spectral
theory of compact operators. Eigenfunction
expansions.
AMSC 687 Minicourse Series in
the Mathematical Sciences (1
credits)
Also offered as MATH687 and STAT687.
Credit will be granted for only one of the
following: AMSC687, MATH687 or
STAT687.
This series will consist of up to sixteen 3-
lecture presentations covering a broad range of
topics in the mathematical sciences. Each
minicourse is intended to be self-contained
296
and accessible to first year graduate students
and advanced undergraduates. The goal of
each minicourse is to present an active
research area or significant result and the
necessary vocabulary and perspective for
students to appreciate it. The goal of the
Minicourse Series is to broaden a student's
awareness of the mathematical sciences and to
inform them of research directions.
AMSC 689 Research
Interactions in Applied
Mathematics and Scientific
Computation (1-3 credits)
Prerequisite: consent of instructor.
Repeatable to 06 credits if content differs.
The students participate in a vertically
integrated (undergraduate, graduate and/or
postdoctoral, faculty) research group. Format
varies, but includes regular meetings, readings
and presentations of material. See graduate
program's online syllabus or contact the
graduate program director for more
information.
AMSC 698 Advanced Topics in
Applied Mathematics (1-4
credits)
Repeatable if content differs. Formerly
MAPL698.
AMSC 699 Applied Mathematics
Seminar (1-3 credits)
Repeatable if content differs. Formerly
MAPL699.
Seminar to acquaint students with a variety of
applications of mathematics and to develop
skills in presentation techniques.
AMSC 760 Applied Statistics
Practicum (3 credits)
Prerequisite: At least one full year of
graduate study in Applied Statistics,
approval by SAC of project proposal.
A semester long applied statistical project (a
minimum 10 hours per week or 120 hours in
total), in an internship of collaborative
research-laboratory setting working on a
substantive applied quantitative project with
significant statistical content.
AMSC 761 Applied Statistics
Seminar (1 credits)
Recommended: STAT700-STAT701;
STAT740-STAT741.
Seminar taught once yearly on a rotating basis
by faculty engaged in the Applied Statistics
area. Required of AMSC Applied Statistics
area doctoral students within one year
following the completion of their practicum
project, AMSC760, and open only to Applied
Statistics Area students. The seminar will
include sessions on presentation skills, but will
consist primarily of oral presentations of
students' past Practicum project results.
Students attend throughout the term, give one
talk (at least 1/2 hour).
AMSC 762 Data Analysis Project
(1 credits)
This course cannot be used to meet any of the
Applied Statistics Area's seminar
requirements. Offered yearly, required of and
limited to MS non-thesis and doctoral students
in Applied Statistics Area, for whom the
resulting projects serve as a Qualifying Exam
component. After 5-6 lectures or presentations
on components of successful data analyses and
write-ups, 3-4 sessions will discuss previous
student project submissions. The culminating
project, to be completed in a two week period
between semesters, is an analysis and written
report of one of three project choices made
available each year to represent a spectrum of
realistic applied statistical problems.
AMSC 799 Master's Thesis
Research (1-6 credits)
AMSC 898 Pre-Candidacy
Research (1-8 credits)
AMSC 899 Doctoral Dissertation
Research (1-8 credits)
American Studies
(AMST)
AMST 418 Cultural Themes in
America (3 credits)
Repeatable to 6 credits if content differs.
Examination of structure and development of
American culture through themes such as
"growing up American," "culture and mental
disorders," "race," "ethnicity," "regionalism,"
"landscape," and "humor."
AMST 428 American Cultural
Eras (3 credits)
Repeatable to 6 credits if content differs.
Investigation of a decade, period, or
generation as a case study in significant social
change within an American context. Case
studies include "Antebellum America, 1840-
1860" and "American culture in the Great
Depression."
AMST 429 Perspectives on
Popular Culture (3 credits)
Repeatable to 6 credits if content differs.
Topics in popular culture studies, including
the examination of particular genres, themes,
and issues.
AMST 432 Literature and
American Society (3 credits)
Prerequisite: Prior course in AMST,
SOCY, American literature, or American
history.
Examination of the relationship between
literature and society: including literature as
cultural communication and the institutional
framework governing its production,
distribution, conservation and evaluation.
AMST 433 American Humor (3
credits)
Credit will be granted for only one of the
following: AMST418A or AMST433.
Formerly AMST4 18 A.
American humor from the Colonial era
through the present in genres including
literature, journalism, graphic aits,
performance, and modern media. How humor
expresses and mediates important social and
cultural concerns including politics, religion,
race and ethnicity, gender and topical issues.
AMST 450 Seminar in American
Studies (3 credits)
Prerequisite: AMST201, AMST340, and
one additional AMST course. Senior
standing. For AMST majors only.
Developments in theories and methods of
American Studies scholarship, with emphasis
upon interaction between the humanities and
the social sciences in the process of cultural
analysis and evaluation.
AMST 498 Special Topics in
American Studies (3 credits)
Repeatable to 9 credits if content differs.
Topics of special interest.
AMST 601 Introductory Theories
and History in American Studies
(3 credits)
Not open to Graduate Advanced Special
Students.
Explores the formative literature, theories,
research approaches, and history of American
Studies.
AMST 602 Interdisciplinary
Research Methods and
Bibliographic Instruction (3
credits)
Advanced instruction interdisciplinary
research strategies, bibliography, and the
structure of systems of scholarly
communication in the fields and subfields of
American Studies.
AMST 603 Current Approaches
to American Studies (3 credits)
For AMST majors only or permission of
department. Permission of instructor
required for non-A MST graduate
students.
Builds on AMST601 and explores
contemporary literature, theory, and
intellectual issues in American Studies.
AMST 628 Seminar in American
Studies (3 credits)
AMST 629 Seminar in American
Studies (3 credits)
AMST 630 Seminar: Readings in
Popular Culture in the United
States (3 credits)
Readings and analysis of classical and recent
research literature on selcted topics, major
theories and frameworks, and methodology in
popular culture in the United States.
AMST 638 Orientation Seminar:
Material Aspects of American
Civilization (3 credits)
Class meets at the Smithsonian.
AMST 639 Reading Course in
Selected Aspects of American
Civilization (3 credits)
Class meets at the Smithsonian.
AMST 650 Material Culture
Studies Theory (3 credits)
Readings and analysis of canonical and current
scholarly approaches to the study of material
culture. Covers a wide range of material
culture genres and subfields, and focuses on
artifacts and the built environment.
AMST 655 Introduction to
Museum Scholarship (3 credits)
Restricted to graduate students in American
Studies, Anthropology, Historic
Preservation, or History (including HILS),
or others by permission of department.
Also offered as HIST 610. Credit will be
granted for only one of the following:
AMST 638C, AMST 655, HIST 610, or
HIST 619C. Formerly AMST638C.
Provides students a basic understanding of
museums as cultural and intellectual
institutions. Topics include the historical
development of museums, museums as
resources for scholarly study, and the museum
exhibition as medium for presentation of
scholarship.
AMST 698 Directed Readings in
American Studies (3 credits)
Repeatable to 6 credits if content differs.
297
This course is designed to provide students
with the opportunity to pursue independent,
interdisciplinary research and reading in
specific aspects of American culture under the
supervision of a faculty member.
AMST 798 Non-Thesis Research
(1-3 credits)
AMST 799 Master's Thesis
Research (1-6 credits)
AMST 801 Research Seminar in
American Life and Culture (3
credits)
Prerequisites: Successful completion of two
American Studies graduate readings
seminar.
A research writing seminar that provides
students with an opportunity to complete an
original research project to gain experience in
related scholarly activities (proposing and
configuring research and making conference-
style presentation).
AMST 851 Interpretation of
Cultural Landscapes (3 credits)
A research seminar that provides students an
opportunity to survey the principal approaches
to studying a cultural landscape, learn how to
apply and adapt a field research method, and
produce a primary research report on a cultural
landscape of their choice.
AMST 856 Museum Research
Seminar (3 credits)
Prerequisite: AMST 655. Also offered as
HIST 810. Credit will be granted for only
one of the following: AMST 638D, AMST
856, HIST 810 or HIST 819D. Formerly
AMST638D.
A research seminar focusing on the practice
and presentation of cultural and historical
scholarship in museums and historical sites.
Students will complete an original research
project on the challenges and opportunities of
public exhibition and interpretation of cultural
and historical research.
AMST 857 Museum Scholarship
Practicum (3-6 credits)
Prerequisite: AMST856 and Permission of
Museum Scholarship Program. Also
offered as HIST811. Credit will be granted
for only one of the following: AMST857 or
HIST811.
Students devise and carry out a research
program using the collections at the
Smithsonian Institution or some other
cooperating museum, working under joint
supervision of a museum professional and a
university faculty member.
AMST 898 Pre-Candidacy
Research (1-8 credits)
AMST 899 Doctoral Dissertation
Research (1-8 credits)
Animal Science
(ANSC)
ANSC 420 Critical Thinking in
Animal Science (3 credits)
Two hours of lecture, one hour of
laboratory, and one hour of
discussion/recitation per week.
Prerequisite: ANSC314 and junior
standing. Recommended: AREC250 and
AREC306.
Employ methods to systematically solve
selected problems that typically arise on farms
or allied businesses related to animal
enterprises.
ANSC 435 Experimental
Embryology (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
ANSC212. Recommended: Reproductive
Physiology. Credit will be granted for only
one of the following: ANSC435 or
ANSC489M. Formerly ANSC489M.
Experimental approaches to mammalian
embryology with emphasis on domestic
livestock systems as applied to research and
production systems. Lab will include hands-on
experiments and demos of in vitro embryo
production, embryo splitting, cell injection and
nuclear transfer.
ANSC 437 Animal
Biotechnology (3 credits)
Prerequisite: ANSC327 or equivalent.
Key concepts and current issues in animal
biotechnology are covered. Current techniques
and applications systems as well as social,
ethical, and regulatory issues associated with
biotechnology will be discussed.
ANSC 443 Physiology of
Lactation (3 credits)
Prerequisites: ANSC212 and {CHEM231
and CHEM232}. Recommended:
BCHM463.
A comprehensive survey of lactation in
laboratory and domestic animals. Other
species are discussed where possible.
Emphasis will be placed on physiological
aspects of milk synthesis and secretion and on
the cellular and molecular biology of
mammary gland development.
ANSC 444 Domestic Animal
Endocrinology (3 credits)
Prerequisite: ANSC212 or permission of
instructor, Not open to students who have
completed ANSC489I or ANSC644. Credit
will be granted for only one of the
following: ANSC489I, ANSC444, or
ANSC644. Formerly ANSC489I.
Current developments in endocrinology as it
relates to animals used in the production of
food and other products important to the well
being of humans will be covered.
ANSC 446 Physiology of
Mammalian Reproduction (3
credits)
Prerequisite: BSCI440 or ANSC212.
Anatomy and physiology of reproductive
processes in domesticated and wild mammals.
ANSC 447 Physiology of
Mammalian Reproduction
Laboratory (1 credits)
Three hours of laboratory per week. Pre- or
corequisite: ANSC446.
Gross and micro-anatomy, artificial
insemination, estrous cycle synchronization
and invitro-fertilization procedures and
analytical techniques useful in animal
management and reproduction.
ANSC 450 Animal Breeding
Plans (3 credits)
Prerequisite: BIOM301 or equivalent.
Junior standing.
Design of animal breeding programs for the
genetic improvement of livestock and
companion animal species. Principles of
population and quantitative genetics. Genetic
evaluations of animals, selection strategies and
crossbreeding systems. Incorporation of
advanced statistics and biotechnology into
animal breeding plans.
ANSC 452 Avian Physiology (3
credits)
One hour of lecture and two hours of
laboratory per week. Prerequisite:
ANSC212. 60 semester hours.
The digestive, excretory, respiratory,
circulatory, immune, skeletal muscle,
endocrine and nervous systems of avian
species will be examined.
ANSC 453 Animal Welfare and
Bioethics (3 credits)
Two hours of lecture and two hours of
discussion/recitation per week.
Prerequisite: ANSC 101 and ANSC 103; or
BSCI106; or permission of instructor.
Junior standing.
Ethical concerns related to the use of animals
in modern society. Historical and
philosophical overview of animal welfare and
bioethics. Applied ethical discussions on
human/animal interrelationships, physical and
genetic manipulation, and other current issues
associated with the treatment of animals used
in food production, research, zoos, and as pets.
ANSC 455 Applied Animal
Behavior (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisites:
ANSC101 and ANSC103; or BSCI106.
Principles of animal behavior applied to
production systems in animal agriculture.
ANSC 489 Current Topics in
Animal Science (1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Examination of current developments in the
animal sciences.
ANSC 497 Animal
Biotechnology Recombinant
DNA Laboratory (3 credits)
One hour of lecture and five hours of
laboratory per week. Prerequisite:
ANSC327 or equivalent. Recommended:
ANSC435andANSC437.
An advanced course offering hands-on
experience in performing recombinant DNA
experiments. Current molecular biology
techniques used for cloning genes, analyzing
the gene products, and modifying the genes of
animals will be performed. Techniques include
isolation of DNA, use of restriction enzymes;
cloning procedures, PCR analysis, and
Southern hybridizations. Lecture material
focuses on interpretation of results generated
in the laboratory.
ANSC 604 Micronutrient
Metabolism (3 credits)
Prerequisites: BCHM 461 and (ANSC 401
or NFSC 440 or equivalent).
Biochemical and molecular regulation of
essential minerals and vitamins. Detailed
discussion of the mechanics of absorption,
transport, storage and function of
micronutrients in higher organisms. Topics
covered include endocrine regulation of
nutrient metabolism and homeostasis.
ANSC 612 Energy Nutrition (3
credits)
Prerequisite: (ANSC 401 or NFSC 450,
and BCHM 461 } or permission of
instructor.
298
Advanced study of nutritional energetics in
animals including humans, domestic animals
and wildlife. Discussion of techniques used in
energy metabolism research and factors
affecting energy intake, absoiption, utilization
and deposition. Dietary guidelines and systems
for describing energy requirements.
ANSC 617 Qualitative
Techniques in Physiology and
Nutrition (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite: MATH
220 or permission of department.
Development and evaluation of quantative
techniques to explore mechanisims of
physiological and nutritional regulation.
Kinetic and dynamic models will be
emphasized.
ANSC 627 Molecular and
Quantitative Genetics (3
credits)
Three hours of lecture and two hours of
discussion/recitation per week.
Classical, molecular, and population genetics
with specific emphasis on animal systems will
be covered. Also, disseminate information on
molecular approaches for manipulating
genetics at the whole animal level (transgenic
and cloning). Other model organisms will be
discussed to provide a conceptual framework.
ANSC 644 Molecular and
Cellular Endocrinology (3
credits)
Two hours of lecture and two hours of
discussion/recitation per week. Not open to
students who have completed ANSC688I
or ANSC444 or ANSC489I. Credit will be
granted for only one of the following:
ANSC444 or ANSC489I or ANSC644 or
ANSC688I. Formerly ANSC688I.
A comprehensive course covering the major
endocrine systems in animals. Lecture topics
include major endocrine axes, hormonal
regulation of homeostasis, growth and
reproduction, and endocrine mechanisms of
action. Advanced concepts in the molecular
and cell biology of hormone action and
regulation addressed in weekly discussion
sessions centered on current research
publications in the field of molecular and
cellular endocrinology.
ANSC 660 Poultry Literature (1-4
credits)
Readings on individual topics are assigned.
Written reports required. Methods of analysis
and presentation of scientific material are
discussed.
ANSC 677 Advanced Animal
Adaptations to the Environment
(2 credits)
Prerequisite: ANSC 406 or permission of
instructor,
A detailed consideration of certain anatomical
and physiological modifications employed by
mammals adapted to cold, dry heat or altitude.
Each student will submit for discussion a
library paper concerning a specific adaptation
to an environmental stress.
ANSC 688 Special Topics (1-4
credits)
Prerequisite: permission of instructor.
Graduate standing. Repeatable to 4 credits.
Lectures, experimental courses, and other
special subjects in the fields of animal sciences
and veterinary medicine.
ANSC 698 Seminar (1 credits)
Students are required to prepare papers based
upon current scientific publications relating to
animal science, or upon their research work,
for presentation before and discussion by the
class; (1) recent advances; (2) nutrition; (3)
physiology; (4) biochemistry.
ANSC 699 Special Problems in
Animal Science (1-2 credits)
Work assigned in proportion to amount of
credit. Prerequisite: approval of staff.
Problems will be assigned which relate
specifically to the character of work the
student is pursuing.
ANSC 799 Master's Thesis
Research (1-6 credits)
ANSC 898 Pre-Candidacy
Research (1-8 credits)
ANSC 899 Doctoral Dissertation
Research (1-8 credits)
Anthropology
(ANTH)
ANTH 410 Theory and Practice
of Health and Community
Development (3 credits)
Junior standing. Also offered as ANTH610.
Credit will be granted for only one of the
following: ANTH410 or ANTH610.
Introduction to the relationships between
culture, health status and practices, and the
design of community-based initiatives. The
focus is on the use of anthropological
knowledge and skills in the analysis of such
relationships and in the design of community-
based initiatives.
ANTH 422 Human-Plant-(Human
& Bioactive Plant) Interaction (3
credits)
Prerequisites: ANTH220 and ANTH320 or
permission of department. Also offered as
ANTH622. Not open to students who have
completed ANTH428I or ANTH689I.
Credit will be granted for only one of the
following: ANTH422 or ANTH622.
Formerly ANTH428I.
This seminar course will discuss the
evolutionary, historical, cultural, and
ecological aspects of coevolution with respect
to humans and their interactions with specific
bioactive plants. Case studies of human- plant-
(pathogen) interactions will be discussed as
well as an inclusive survey of
anthropologically important phytochemicals.
The seminar incorporates human-plant-
(pathogen) interactions into models of human
evolution and ecology.
ANTH 423 Human Biodiversity
(3 credits)
Prerequisites: ANTH 220 and ANTH 320
or permission of department. Also offered
as ANTH623. Not open to students who
have completed ANTH428X or ANTH623.
Credit will be granted for only one of the
following: ANTH423 or ANTH623.
Formerly ANTH428X.
This course will discuss modern human
origins and contemporary human variability,
the nature and levels of human diversity; how
natural selection modulates human differences
and similarities; early studies of human
variation and the concept of human biological
race. The course emphasizes the genetic and
non-genetic bases of human behavioral
variation; the role of gender and human
biodiversity; nDNA variation, ethnicity, and
disease causation; moiphometric and
biochemical variation; and the re-
conceptualization of human biodiversity.
ANTH 425 Theory and Practice
of Applied Biological
Anthropology (3 credits)
Junior standing. Also offered as ANTH
625. Credit will be granted for only one of
the following: {ANTH320 and ANTH425}
orANTH625.
An introduction to the major theoretical and
methodological underpinnings of applied
biological anthropology within such areas as
anthropological genetics, applied
anthropometry, forensic anthropology,
museum studies, and zoological parks.
Emphasis is on the evaluation of the
contributions of applied bioanfhropological
studies to particular problems in human health,
environments, and heritage.
ANTH 428 Special Topics in
Bioanthropology (3 credits)
Prerequisite: Permission of department.
Repeatable to 6 credits if content differs.
Advanced research courses in biological
anthropology on changing topics that
correspond to new theoretical interests, faculty
research interests, or the specialties of visiting
scholars. Prerequisites or background
knowledge vary with the topic. Check with the
department for requirements.
ANTH 429 Advanced Special
Topics in Biological
Anthropology (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Upper level biological anthropology courses
on varying topics derived from new interests
of the faculty or the specialties of visiting
scholars.
ANTH 440 Theory and Practice
of Historical Archaeology (3
credits)
Prerequisite: ANTH240. Also offered as
ANTH640. Credit will be granted for only
one of the following: ANTH440 or
ANTH640.
Historical archaeology enhances cultural
heritage by providing voice for groups who
were often unable to record their own
histories, such as women, laborers, working
class families, and enslaved people. The
course provides insight into issues related to
race, gender, and ethnicity as they relate to
multicultural histories.
ANTH 442 Public Archeology (3
credits)
Prerequisite: ANTH240. Credit will be
granted for only one of the following:
ANTH442, ANTH448V, or ANTH642.
Formerly ANTH448V.
Explores the uses and environments for
archaeological work through a discussion of
museum, electronic media, heritage settings,
outdoor history museums, including the legal
environment that offers protection for
archaeological remains. The course exposes
students to the majority of cultural media
within which archaeology is currently
practiced. The interdisciplinary course is a
survey of the progress made within and
beyond anthropology in understanding the
function of heritage, public memory, tourism,
299
and the other popular uses of materials from
the past, including the progress made in
linguistics psychology and other cognitive
disciplines in understanding the purpose of the
past.
ANTH 445 Laboratory Methods
in Archaeology (3 credits)
Prerequisite: ANTH496. Recommended:
ANTH240.
The processing, curation, cataloging and
analysis of data is an important part of any
archaeology field project. Students will learn
that basics of laboratory techniques necessary
for the final analysis and interpretation of field
data.
ANTH 446 Chesapeake
Archeology (3 credits)
Prerequisite: ANTH240. Credit will be
granted for only one of the following:
ANTH446, ANTH448W, ANTH646 or
ANTH689W. Formerly ANTH448W.
An overview of the culture and history of the
Chesapeake watershed region, and of the
issues that archaeologists face working in this
region.
ANTH 447 Material Culture
Studies in Archaeology (3
credits)
Prerequisite: ANTH240. Credit will be
granted for only one of the following:
ANTH447, ANTH448C, ANTH647, or
ANTH689C. Formerly ANTH448C.
An in-depth introduction to the world of
material culture studies with a focus on the
methods and theories in historical
archaeology. Students will look at
archaeological data as historical documents,
commodities and as symbols expressing ideas.
ANTH 448 Special Topics in
Archaeology (3 credits)
Prerequisite: ANTH240. Repeatable to 6
credits if content differs .
Advanced topics in archaeological research,
corresponding to new theoretical
developments, faculty research interests, or
specialties of visiting scholars. Prerequisites
may vary with course topic; check with the
department for requirements.
ANTH 449 Advanced Special
Topics in Archaeology (3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Upper level archaeology courses on varying
topics derived from new interests of the
faculty or the specialties of visiting scholars.
ANTH 450 Theory and Practice
of Environmental Anthropology
(3 credits)
Junior standing. Also offered as ANTH650.
Credit will be granted for only one of the
following: ANTH450 or ANTH650.
An overview of contemporary application of
cultural theory and methods to environmental
problems. Topics include the use of theories of
culture, cognitive approaches, discourse
analysis, and political ecology. Case studies
from anthropology, other social sciences,
humanities, conservation, and environmental
history are used to demonstrate the applied
value of a cultural-environmental approach.
ANTH 454 Anthropology of
Travel and Tourism (3 credits)
Also offered as ANTH654. Credit will be
granted for only one of the following:
ANTH454orANTH654.
Review of recent anthropological
contributions to the study of travel and tourism
development. Topics include the history of
travel, political economy of tourism, gender in
tourism, the built environment, ecotourism,
and heritage tourism.
ANTH 468 Special Topics in
Cultural Anthropology (3
credits)
Prerequisite: ANTH360 or permission of
department. Repeatable to 6 credits if
content differs.
Advanced courses in varying specialty areas of
cultural anthropology that respond to new
theoretical developments, faculty research
interests, or specialties of visiting scholars.
ANTH 469 Advanced Special
Topics in Cultural Anthropology
(3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Upper level cultural anthropology courses on
varying topics derived from new interests of
the faculty or the specialties of visiting
scholars.
ANTH 470 History and
Philosophy of Anthropological
Inquiry (3 credits)
Prerequisite: ANTH220 or ANTH240 or
ANTH260. Recommended: ANTH320 or
ANTH340 or ANTH360 or ANTH380.
Also offered as ANTH670. Credit will be
granted for only one of the following:
ANTH470orANTH670.
Important philosophical and historical aspects
of anthropological theorizing. Attention will
be given on the Ontological and
Epistemological (the latter including
Methodological) assumptions of the major
camps and paradigms in anthropology over the
past one hundred or so years, especially the
last three decades. A focus on developments in
cultural anthropology, while addressing the
other subfields of anthropology.
ANTH 472 Medical Anthropology
(3 credits)
Prerequisite: ANTH360 or permission of
department. Credit will be granted for only
one of the following: ANTH472,
ANTH468, ANTH672,or ANTH688L.
Formerly ANTH468L.
An exploration of the cultural, social,
economic and political dimensions of health,
disease, and illness. These dimensions will be
examined through both the health-seeker's and
the care- provider's perspectives.
ANTH 476 Senior Research (3-4
credits)
For ANTH majors only. Credit will be
granted for only one of the following:
ANTH476orANTH486.
Capstone course in which students pursue
independent research into a current problem in
anthropology, selected with assistance of a
committee of faculty. Research leads to the
writing of a senior thesis in anthropology.
ANTH 477 Senior Thesis (3-4
credits)
Prerequisite: ANTH476; permission of
department. For ANTH majors only. Credit
will be granted for only one of the
following: ANTH477 or ANTH487.
Capstone course in which students write a
senior thesis on independent research into a
current problem in anthropology. The thesis is
defined before a committee of faculty.
ANTH 478 Special Topics in
Linguistics (3 credits)
Prerequisite: ANTH380 or permission of
department. Recommended: LING200 or
equivalent. Repeatable to 6 credits if
content differs.
Advanced courses in specialty areas that
respond to new theoretical developments and
faculty research interests in linguistics.
ANTH 486 Honors Research (3-4
credits)
Prerequisites: permission of department;
admission to University Honors Program or
Anthropology Honors Program. For ANTH
majors only. Credit will be granted for only
one of the following: ANTH486 or
ANTH476.
Capstone course in which students pursue
independent research into a current problem in
anthropology, selected with assistance of a
committee of faculty. Research leads to the
writing of an honors thesis in anthropology.
ANTH 487 Honors Thesis (3-4
credits)
Prerequisites: ANTH486; permission of
department; admission to University
Honors Program or Anthropology Honors
Program. For ANTH majors only. Credit
will be granted for only one of the
following: ANTH487 or ANTH477.
Capstone course in which students write a
thesis on the results of independent research
into a current problem in anthropology.
ANTH 493 Anthropological
Fieidwork and Experience in
Argentina: The Relevance of
Context and Place (3 credits)
Credit will be granted for only one of the
following: ANTH493, ANTH468Q,
ANTH688Q, ANTH693, CPSP379, or
HONR348E.
A three week intensive course in Argentina
that examines anthropological fieidwork and
experiences to understand the relevance of
context and place in the identification and
implementation of projects on health,
development, and heritage. Students will learn
to contextualize the production and
dissemination of knowledge within political-
economic, historical, socio-cultural and policy
realms. Participant-observation of the local
culture and exposure to the regional varieties
of anthropological practice will also be carried
out through comparison of projects in the U.S.
and Argentina, visits to selected sites of
anthropological production, and homestays
with families.
ANTH 496 Field Methods in
Archaeology (6 credits)
Field training in the techniques of
archaeological survey and excavation.
ANTH 498 Advanced Field
Training in Ethnography (1-8
credits)
Prerequisite: Permission of department.
Repeatable to 6 credits if content differs.
Credit will be granted for only one of the
following: ANTH498 or ANTH698.
Experience in field research utilizing a variety
of ethnographic methods of inquiry.
300
ANTH 499 Fieldwork in
Biological Anthropology (3-8
credits)
Prerequisite: permission of department.
Repeatable to 8 credits if content differs.
Field training in techniques of human biology,
primatology, or paleoanthropology.
ANTH 601 Applied Anthropology
(3 credits)
An overview of the history and current
practices of applied anthropology. This
includes relationships between applied
anthropology and other major subfields of the
profession; the interdisciplinary and public
context of applied anthropology; and problems
of significance, utility, and ethics associated
with applied anthropology.
ANTH 606 Qualitative Methods
in Applied Anthropology (3
credits)
An introduction to the use of ethnography and
qualitative methods in applied and policy
contexts. Qualitative methods discussed
include informal and systematic approaches.
Students undertake fieldwork in local settings
to practice the qualitative methods and to
develop analysis and report writing skills.
ANTH 610 Advanced Studies in
Theory and Practice of Health
and Community Development (3
credits)
Also offered as ANTH 410. Credit will be
granted for only one of the following:
ANTH 610 or ANTH 410.
Introduction to the relationships between
culture, health status and practices, and the
design of community-based initiatives. The
focus is on the use of anthropological
knowledge and skills in the analysis of such
relationships and in the design of community-
based initiatives.
ANTH 612 Ethnology of the
Immigrant Life (3 credits)
Credit will be granted for only one of the
following: ANTH612 or ANTH698B.
Explores the soical issues affecting local
immigrant populations through research and
service learning components. Questions
addressed include barriers to immigrant access
to basic and social needs. What are the major
characteristics of contemporary immigrants to
neighborhoods adjacent to campus? How has
this immigrant stream affected non-immigrant
populations?
ANTH 614 Ethnohistory and
Documentary Analysis (3
credits)
The assembly, use, assessment, and analysis of
written and pictorial information pertinent to
archaeological and ethnographic work. The
course features the methods and techniques
needed to read and use colonial documents,
U.S. censuses, the 1930's Slave
Autobiographies, and associated analytical
literature.
ANTH 615 The Anthropology of
the African American Family (3
credits)
Credit will be granted for only one of the
following: ANTH468N, ANTH618, or
ANTH688N.
Suveys the African American family from a
historical and ecological perspective,
exploring adaptive responses through high
stress periods. A key question underlying the
explorations in this seminar is whether there
are lessons from earlier African American
organiztional structures (family, church, and
community) that might be applicable to black
families overcoming more recent periods of
high environmental stress, or does such a
concept even have relevance in today's
multicultural world?
ANTH 616 Ethnographic
Evaluation of Community-Based
Initiatives (3 credits)
Credit will be granted for only one of the
following: ANTH616 or ANTH689E.
Explores the use of ethnographic methods in
the evaluation of community-based initiatives.
Focuses on the roles of sub-cultural groups
(sponsors, project personnel, target
communities, evaluators, etc.) in the design,
implementation, and evaluation of community-
based initiatives, and the roles that
anthropology and ethnography can play in
such initiatives.
ANTH 617 Applied Urban
Ethnography: Community
Assessment Research (3
credits)
Credit will be granted for only one of the
following: ANTH468B, ANTH617, or
ANTH688B.
Explores the use of ethnographic research
methods in carrying out community
assessment research to inform the design,
implementation, and evaluation of culturally
and community appropriate community-based
initiatives. This course usually has a fieldwork
component in a local urban neighborhood.
ANTH 621 Nutritional
Anthropology (3 credits)
Credit will be granted for only one of the
following: ANTH428N, ANTH621, or
NFSC498.
As a truly biocultural topic, this course
explores nutritional anthropology from an
integrated science approach. Topics include:
theory and methods in nutritional
anthropology, fundamentals of human
nutrition, evolution of the human diet, impact
of agriculture on human nutrition, explaining
foodways in contemporary human groups, and
contemporary nutritional and
anthropologically related problems.
ANTH 624 Research Issues in
Anthropological Genetics (3
credits)
Research into the genetic analyses and
interpretation of recent events in human
history including our demographic history,
mating structure, biological lineage
coalescence and gene genealogies, migration
history and gene flow with surrounding
groups, opportunities for genetic drift, gene-
environment interactions, and population size
fluctuations.
ANTH 626 Advanced Topics in
Human Biological Anthropology
(3 credits)
Analysis of experimental and theoretical
physiological anthropology including
physiological polymorphisms, systemic
coordination, adaptation and adaptability,
functional potentiality, mechanisms of action,
biological consequences of culture, modeling,
and coevolution.
ANTH 629 Advanced
Developments in Biological
Anthropology (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: ANTH429 or ANTH629.
Graduate biological anthropology courses on
varying topics derived from new interests of
the faculty or the specialties of visiting
scholars.
ANTH 630 Quantification and
Statistics in Applied
Anthropology (3 credits)
An intensive overview of key quantitative and
statistical approaches used by social scientists
in applied ad policy research. This includes
nonparametric and parametric statistical
approaches. Students utilize statistical
software and analyze existing and student-
created databases. Anthropological case
studies are emphasized.
ANTH 640 Advanced Studies in
Theory and Practice of
Historical Archaeology (3
credits)
Also offered as ANTH440. Credit will be
granted for only one of the following:
ANTH440 or ANTH640.
Historical archaeology enhances cultural
heritage by providing voice for groups who
were often unable to record their own
histories, such as women, laborers, working
class families, and enslaved people. The
course provides insight into issues related to
race, gender, and ethnicity as they relate to
multicultural histories.
ANTH 641 Cultural Resource
Management Archaeology (3
credits)
Credit will be granted for only one of the
following: ANTH448M, ANTH641, or
ANTH689M.
An introduction to the federal, state, county,
and local laws regarding archaeology. The
course includes discussion of regulations,
interpretations and enforcement procedures in
use in the Chesapeake region and nationally.
There will also be an introduction to lobbying.
A major portion of the course will involve
practical training in site report preparation,
including the write-up of stratigraphy.
ANTH 642 Advanced Studies in
Public Archaeology (3 credits)
Explores the uses and environments for
archaeological work through a discussion of
museum, electronic media, heritage settings,
outdoor history museums, including the legal
environment that offers protection for
archaeological remains. The course exposes
advanced graduate students to the majority of
the cultural media within which archaeology is
currently practiced. The interdisciplinary
course is a survey of the progress made within
and beyond anthropology in understanding the
function of heritage, public memory, tourism,
and the other popular uses of material from the
past, including the progress made in linguistics
and psychology, and other cognitive
disciplines in understanding the purpose of the
past.
ANTH 643 Anthropological
Approaches to Geographic
Information Science (3 credits)
Credit will be granted for only one of the
following: ANTH448S, ANTH643, or
301
ANTH689S.
A practical introduction to GIS program use,
including the production of archaeological and
other maps, profiles, and integrated
presentations of plans, photographs, texts, an
other digitally available materials, as well as
research applications in applied biological and
cultural anthropology.
ANTH 644 The Archaeology of
the African Diaspora (3 credits)
The course assembles materials from South
America, the Caribbean, and North America to
examine the presence of Africa in the New
World. It presents the archaeology and
historical information on the African Diaspora.
Major interpretive approaches are included.
ANTH 645 Prehistoric North
America (3 credits)
A survey of the major discoveries and
developments in prehistoric North America,
north of Mexico. This course will introduce
the advanced student to the major
interpretations that govern our current
understanding of North America for the last
20,000 years.
ANTH 646 Advanced Studies in
Chesapeake Archaeology (3
credits)
Credit will be granted for only one of the
following: ANTH448W, ANTH646, or
ANTH689W. Formerly ANTH689W.
An understanding of the greater Chesapeake
region, including its major cities, derived from
prehistoric and historical archaeology. The
course will include topics related to the past
and present conditions of Native peoples,
colonized populations, and the relationship of
preserved remains to modern political
standings.
ANTH 647 Advanced Material
Culture Studies in Archaeology
(3 credits)
Credit will be granted for only one of the
following: ANTH447, ANTH448C,
ANTH647, or ANTH689C. Formerly
ANTH689C.
An in-depth introduction to the world of
material culture studies with a focus on the
methods and theories in historical
archaeology. Students will look at
archaeological data as historical documents,
commodities and as symbols expressing ideas.
ANTH 649 Advanced
Developments in Archaeology (3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Graduate Archaeology courses on varying
topics derived from new interests of the
faculty or the specialties of visiting scholars.
ANTH 650 Advanced Studies in
Theory and Practice of
Environmental Anthropology (3
credits)
Also offered as ANTH 450. Credit will be
granted for only one of the following:
ANTH 450 or ANTH 650.
An overview of contemporary application of
cultural theory and methods to environmental
problems. Topics include the use of theories of
culture, cognitive approaches, discourse
analysis, and political ecology. Case studies
from anthropology, other social sciences,
humanities, conservation, and environmental
history are used to demonstrate the applied
value of a cultural-environmental approach.
ANTH 654 Travel and Tourism (3
credits)
Also offered as ANTH454. Not open to
students who have completed ANTH688U.
Credit will be granted for only one of the
following: ANTH454 or ANTH654.
Formerly ANTH688U.
Review of recent anthropological
contributions to the study to tourism and
tourism development. Topics include the
political economy of tourism, gender in
tourism, the built environment, ecotourism,
and sustainable tourism development.
ANTH 656 Community-Based
Tourism (3 credits)
Credit will be granted for only one of the
following: ANTH468Y, ANTH688Y, or
ANTH656.
Review of the global, economic, and
representational properties of modern tourism
development that threaten local self-
determination. Questions addressed include
how do communities cope with tourism and
what are effective strategies for community-
based and sustainable tourism development?
ANTH 657 Anthropology of
Museums (3 credits)
Contemporary museums serve as repositories
of knowledge, but are also en gaged with
communities in the utilization and production
of such knowledge. New venues to increase
and enhance utilization are virtual galleries,
community museums, and cultural centers.
This course will include exhibit curation,
public program planning, and implementation
as museum practices that emerge from the
theoretical framework of the new museology.
The course will emphasize the cross
disciplinary nature of museum work.
ANTH 669 Advanced
Developments in Cultural
Anthropology (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Graduate cultural anthropology courses on
varying topics derived from new interests of
the faculty or the specialties of visiting
scholars.
ANTH 670 Current
Developments in
Anthropological Theory (3
credits)
Also offered as ANTH470. Credit will be
granted for only one of the following:
ANTH470orANTH670.
A review of major contributions to
anthropological theory, with a special
emphasis on the relationship between practice
and theory. Includes use of concept of culture
in the four traditional subfields, fieldwork and
grounded theory, and significant advances in
general theory, symbolic anthropology, critical
theory, and postmodernism.
ANTH 672 Advanced Studies in
Medical Anthropology (3
credits)
Credit will be granted for only one of the
following: ANTH472, ANTH468L,
ANTH672, ANTH688L. Formerly
ANTH688L.
An exploration of the cultural, social,
economic and political dimensions of health,
disease, and illness. These dimensions will be
examined through both the health-seeker's and
the care-provider's perspectives.
ANTH 688 Current
Developments in Anthropology
(3 credits)
Repeatable to 9 credits if content differs.
Detailed investigation of a current problem or
research technique, the topic to be chosen in
accordance with faculty interests and student
needs.
ANTH 689 Special Problems in
Anthropology (1-6 credits)
ANTH 693 Advance Studies in
Anthropological Fieldwork and
Experiences in Argentina: The
Relevance of Context and Place
(3 credits)
Credit will be granted for only one of the
following: ANTH493, ANTH688Q,
ANTH693, CPSP379, or HONR348E.
A three week intensive course in Argentina
that examines anthropological fieldwork and
experiences to understand the relevance of
context and place in the identification and
implementation of projects on health,
development, and heritage. Students will learn
to contextualize the production and
dissemination of knowledge within political-
economic, historical, socio-cultural and policy
realms. Participant-observation of the local
culture and exposure to the regional varieties
of anthroplogical practice will also be earned
out through comparison of projects in the U.S.
and Argentina, visits to selected sites of
anthropological production, and homestays
with families.
ANTH 696 Field Methods in
Archaeology (6 credits)
Formerly ANTH699.
Field training in the techniques of
archaeological survey and excavation.
ANTH 698 Advanced Field
Training in Ethnology (1-6
credits)
Repeatable to 6 credits if content differs.
Credit will be granted for only one of the
following: ANTH498 or ANTH698.
Experience in field research utilizing a variety
of enthnographic methods of inquiry.
ANTH 701 Anthropology
Internship Preparation (3
credits)
Preparation for internship includes practicum
training in development, presentation and
evaluation of position papers, proposals and
work plans; literature search and use of
secondary data sources in decision making the
effect cultural analysis and resource
management; ethics and professional
development for work in non-academic
settings.
ANTH 712 Anthropology
Internship Analysis (3 credits)
Prerequisite: ANTH789.
The preparation and presentation of internship
results, and the development of skills in report
writing and presentation. Includes the
completion of a professional quality report or
publishable paper based on the internship
experience.
ANTH 720 Advanced Studies in
Theory and Practice in Applied
Biological Anthropology (3
credits)
302
Credit will be granted for only one of the
following: ANTH420, ANTH425,
ANTH625,orANTH720.
An introductin to the major theoretical and
methodological underpinngs of applied
biological anthropology within such areas as
anthropological genetics, applied
anthropometry, forensic anthropology,
museum studies, and zoological parks.
Emphasis is on the evaluation of the
contributions of applied bioanthropological
studies to particular problems in human health,
environment, and heritage.
ANTH 740 Theories of the Past
and Accomplishments of
Archaeology (3 credits)
Credit will be granted for only one of the
following: ANTH689P or ANTH740.
Formerly ANTH689P.
The primary purpose is to highlight some of
the key achievements made by archaeologists
in informing questions of interest to society
from 1850 on. Key achievements include how
archaeologists understand elements of the past
thought to be central to the development of
modern socieity. A secondary purpose is to
introduce students to the theories used to
understand the place of the past in society and
the function of answers to questions thought
central to modern social life.
ANTH 760 Development of
Social/Cultural Theory (3
credits)
A broad perspective of the history of social
cultural theory in anthropology and the critical
skills needed for understanding the
subdiscipline is provided. An overview of the
history of theorizing about society and culture
will help outline the past, present, and future
of anthropology and its relations with other
scientific and humanistic disciplines.
ANTH 770 Intellectual History of
Anthropology (3 credits)
Major intellectual currents in anthropological
theory from the nineteenth century to the
present are considered with emphasis placed
on application of theory and theory of
application. The disciplinary subfields are
traced while stressing their mutual
interdependence as well as the major
developments of each subfield. Additional
material proceeds from the 19th century
contributors (including Tyler, Durkheim, and
Boas), exploring the cumulative nature of
anthropological theory, through the 20th
centuries and into the present (finding
commonalities, threads, and innovations in the
exercise).
ANTH 788 Internship Research
(1-3 credits)
Prerequisite: ANTH 701 and permission of
track advisor. 03 semester hours. For
ANTH majors only.
This course augments ANTH 789 and is
graded in conjunction with it.
ANTH 789 Internship (3-6
credits)
Prerequisite: ANTH 701. For ANTH
majors only. Repeatable to 06 credits if
content differs. Formerly ANTH705.
Individual instruction course supervised by a
department faculty member.
ANTH 898 Pre-Candidacy
Research (1-8 credits)
ANTH 899 Doctoral Dissertation
Research (6 credits)
Atmospheric and
Oceanic Science
(AOSC)
AOSC 400 Physical Meteorology
of the Atmosphere (3 credits)
Prerequisites: MATH141, PHYS161, or
PHYS 17 1 with a grade of C or higher; or
permission of department. Formerly
METO400.
The application of basic classical physics,
chemistry and mathematics to the study of the
atmosphere. Composition of the atmosphere;
energy sources and sinks (radiation in the
atmosphere; radiative balance and radiative
forcing of atmospheric processes);
atmospheric thermodynamics; clouds and
precipitation physics; atmospheric electricity
and optics; mesoscale processes (e.g.,
orographic mesoscale phenomena and
instabilities); airmass boundaries; severe
weather, tropical cyclones; storms; global
circulation.
AOSC 401 Climate Dynamics
and Earth System Science (3
credits)
Prerequisite: AOSC200 or AOSC400; or
permission of instructor. Formerly
METO401.
The global weather and climate system; the
natural variability of the atmosphere-ocean-
biosphere; carbon cycle and bio geochemistry.
Potential human effects: greenhouse effects,
deforestation, acid rain, ozone depletion,
nuclear winter. Social, political and economic
effects of changes in global environment.
Policy options.
AOSC 424 Remote Sensing of
the Atmosphere and Ocean (3
credits)
Prerequisite: MATH141; PHYS161 or
PHYS 171 with a C or higher; or permission
of instructor.
Many of the properties of the atmosphere,
ocean, and land surface are most easily
observed from satellite remote sensing. This
course will provide students with a hands-on
introduction to a variety of passive and active
sensing techniques and sensors observing our
changing environment. Topics include: orbital
dynamics and electromagnetic properties of
the atmosphere and surface; atmospheric
emission characteristics and scattering;
chemical composition and spectroscopy;
temperature retrievals; detection and retrieval
of aerosol, cloud and rain; ocean surface
properties; sea surface temperature and color;
active sensing of wind stress, sea level, and
internal waves; time-dependent gravity;
properties of vegetation and ice.
AOSC 431 Atmospheric
Thermodynamics (3 credits)
Prerequisites: MATH141, PHYS161 and
PHYS 17 1 with grade of C or higher.
Recommended: MATH246. Credit will be
granted for only one of the following:
AOSC431 or MET0431. Formerly
MET0431.
Classical thermodynamics applied to both the
dry and the moist atmosphere. Composition;
phase changes of water; stability concepts;
Properties of aerosols and clouds, cloud
nucleation and precipitation processes,
atmospheric electricity, cloud and precipitation
chemistry.
AOSC 432 Large Scale
Atmospheric Dynamics (3
credits)
Prerequisite: AOSC431/MET0431.
Corequisite: MATH246. 3 semester hours.
Credit will be granted for only one of the
following: AOSC432, MET0432, or
AOSC632. Formerly MET0432.
The physics of the atmospheric motions that
control mid-latitude weather; physics of
hurricanes; mathematics of climate change.
AOSC 434 Air Pollution (3
credits)
Prerequisite: CHEM1 13 and MATH241 ; or
permission of department. Formerly
MET0434.
Production, transformation, transport and
removal of air pollutants. The problems of
photochemical smog, the greenhouse effect,
stratospheric ozone, acid rain and visibility.
Analytical techniques for gases and particles.
AOSC 470 Synoptic
Meteorology (3 credits)
Prerequisite: AOSC431 and AOSC432
with a grade of C or higher. Credit will be
granted for only one of the following:
AOSC470, AOSC600, or METO600.
Atmospheric properties and observations,
meteorological analysis and charts, operational
numerical forecasts. Application of
quasigeostrophic theory, baroclinic instability,
midlatitiude and mesoscale weather systems.
Tropical meteorology. Weather forecasting
using numerical and statistical models.
Prediction of weather phenomena on the
global, syoptic, meso, and local scales.
Analysis of surface and upper air data;
Norwegian cyclone model; introduction to
weather forecasting.
AOSC 493 Senior Research
Project I (3 credits)
Prerequisite: permission of department.
Non-majors also need permission of the
instructor, For AOSC majors only.
Technical writing and oral presentation skills.
Planning, writing, and presenting a plan for
research in the geosciences.
AOSC 494 Atmospheric and
Oceanic Science Seminar (1
credits)
Prerequisite: AOSC431 and AOSC432
with a grade of C or higher.
Exposure to a wide range of contemporary
topics in atmospheric, oceanic, and climate
sciences, to foster research interests and
promote critical thinking through the weekly
AOSC departmental seminar series.
AOSC 498 Senior Research
Project II (3 credits)
Prerequisite: AOSC493.
The project will be based on the research or
development plan created in AOSC493. It may
be completed with the approval of a faculty
advisor in conjunction with an internship.
Final written thesis and oral defense will be
expected.
AOSC 499 Special Problems in
Atmospheric Science (1-3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits. Formerly
303
MET0499.
Research or special study in the field of
meteorology and the atmospheric and oceanic
sciences.
AOSC 600 Synoptic
Meteorology I (3 credits)
Prerequisite: AOSC610. Credit will be
granted for only one of the following:
AOSC470 or AOSC600. Formerly
METO600.
Atmospheric properties and observations,
meteorological analysis and charts, operational
numerical forecasts. Application of
quasigeostrophic theory, baroclinic instability,
midlatitude and mesoscale weather systems.
Tropical meteorology.
AOSC 601 Synoptic
Meteorology II (3 credits)
Prerequisite: METO 600. Formerly
METO601.
Weather forecasting using numerical and
statistical models. Prediction on the global,
synoptic, meso, and local scales.
AOSC 602 Mesoscale
Meteorology (3 credits)
Prerequisites: METO 600 or METO 611.
Mesoscale approximations, cyclones and
fronts, quasi- versus semi-geostrophic theory,
piece-wise PV inversion, waves and
instability, isolated convection, organized
convective systems, numericalmodeling and
convective parameterization.
AOSC 610 Dynamics of the
Atmosphere and Ocean I (3
credits)
Pre- or corequisite: MATH 462 or
equivalent PDE (partial differential
equations) course. Formerly METO610.
Equations of motion and their approximation,
scale analysis for the atmosphere and the
ocean. Conservation properties. Fluid motion
in the atmosphere and oceans. Circulation and
vorticity, geostrophic motion and the gradient
wind balance. Turbulence and Ekman Layers.
AOSC 611 Dynamics of the
Atmosphere and Oceans II (3
credits)
Prerequisite: METO 610. Formerly
MET0611.
Waves and instabilities in the atmosphere and
the ocean. Gravity, Rossby, coastal and
equatorial waves. Flow over topography.
Dynamic instabilities including barotropic,
baroclinic, inertial, and instabilities of the
coupled ocean-atmosphere system. Stationary
waves and multiple equilibria.
AOSC 614 Atmospheric
Modeling, Data Assimilation and
Predictability (3 credits)
Prerequisite: METO 610 or permission of
instructor. Recommended: METO 611.
Formerly MET06 14.
Solid foundation for atmospheric and oceanic
modeling and numerical weather prediction:
numerical methods for partial differential
equations, an introduction to physical
parameterizations, modern data assimilation,
and predictability.
AOSC 615 Advanced Methods in
Data Assimilation for the Earth
Sciences (3 credits)
Prerequisite: METO 614 or permission of
instructor.
An overview of the most important methods of
data assimilation. Theory, techniques and
strategies of these methods, as well as their
possible drawbacks. Hands-on
experimentation with variational and other
data assimilation systems.
AOSC 617 Atmospheric and
Oceanic Climate (3 credits)
Prerequisite: METO 610 or approval of
instructor. Formerly MET06 17.
The general circulation of the atmosphere and
oceans, historical perspective, observations,
and conceptual models; wind-driven and
thermohaline circulation of the oceans.
Seasonal cycle and monsoon circulations;
interannual to interdecadal climate variability;
climate change.
AOSC 620 Physics and
Chemistry of the Atmosphere I
(3 credits)
Prerequisite: MATH 461 or equivalent
Scientists Linear Algebra course. Formerly
METO620.
Air parcel thermodynamics and stability;
constituent thermodynamics and chemical
kinetics. Cloud and aerosol physics and
precipitation processes.
AOSC 621 Physics and
Chemistry of the Atmosphere II
(3 credits)
Prerequisites: MATH 462 or equivalent
PDE (partial differential equations) course;
and METO 620. Formerly MET0621.
Spectroscopy; basic concepts in radiative
transfer and atmospheric chemistry; photolysis
rates for atmospheric molecules.
AOSC 624 Remote Sensing of
Surface Climate (3 credits)
Prerequisites: MATH 240; MATH 241, and
METO 400.
The theory and principles of remote sensing as
applicable to earth observing satellites.
Discussed will be current methods to interpret
satellite observations into useful climate
parameters. Emphasis will be placed on
parameters that provide information about the
climate close to the earth surface, and that can
be inferred on regional to global scales.
Examples are: surface temperature and
reflectivity, radiation budgets, soil moisture,
and vegetation cover.
AOSC 625 Remote Inference of
Atmospheric Properties by
Satellite (3 credits)
Prerequisites: METO 621; and MATH 461.
Formerly MET0625.
Weather satellite programs and
instrumentation. Radiative transfer applied to
satellite observations. Physical basis of remote
inference. Temperature and moisture
soundings. Errors in satellite retrievals.
Applications to numerical weather simulation
and prediction.
AOSC 630 Statistical Methods in
Meteorology and Oceanography
(3 credits)
Prerequisite: STAT 400 or equivalent
introductory statistics course. Formerly
METO630.
Parametric and non -para metric tests; time
series analysis and filtering; wavelets.
Multiple regression and screening; neural
networks. Empirical orthogonal functions and
teleconnections. Statistical weather and
climate prediction, including MOS,
constructed analogs. Ensemble forecasting and
verification.
AOSC 632 Atmsopheric
Dynamics (3 credits)
Prerequisite: AOSC431. Corequisite:
MATH246. Not open to students who have
completed AOSC432. Credit will be
granted for only one of the following:
AOSC432orAOSC632.
The equations of motion of the atmosphere are
developed, and then analyzed with a focus on
developing students' intuition about the
behavior of the large-scale atmospheric flow
in the mid-latitudes. Topics covered:
Kinematics; forces in the atmosphere; apparent
forces arising from the rotation of the earth;
vertical coordinate systems; spherical
coordinate; natural coordinates; hydrostatic,
cyclostrophic; geostrophic and gradient wind
balances; diagnosis of vertical motion (the
omega equation); prediction of surface
pressure; dynamics of fronts; lifecycle of
baroclinic distrubances; introduction to
climate sensitivity and climate feedbacks.
AOSC 634 Air Sampling and
Analysis (3 credits)
One hour of lecture and four hours of
laboratory per week. Prerequisite: METO
434 or METO 637 or permission of
department. Formerly MET0634.
Theory and application of analytical
techniques for the analysis of atmospheric
gases and particles including priority
pollutants. Combined chemical and
meteorological considerations in designing
field experiments.
AOSC 637 Atmospheric
Chemistry (3 credits)
Prerequisites: CHEM 481 or METO 620.
Also offered as CHEM 637. Formerly
MET0637.
Application of the techniques of
thermodynamics, kinetics, spectroscopy and
photochemistry to atmospheric gases and
particles. Investigation of the global cycles of
C, H, O, N, and S species; the use of
laboratory and field measurements in
computer models of the atmosphere.
AOSC 640 Surface-Atmosphere
Interactions (3 credits)
Prerequisites: MATH 240, MATH 241,
PHYS 263 or consent of instructor.
Formerly METO640.
Microscale surface/atmosphere interactions
and their parameterization, current
observational results, computational
techniques for momentum, heat and water
vapor transfer in the surface boundary layer.
AOSC 652 Analysis Methods in
Atmospheric and Oceanic
Science (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
MATH241 and PHYS141; or equivalent.
Recommended: AMSC/CMSC/MAPL460
or AMSC/CMSC660.
A variety of the analysis methods used by
atmospheric and oceanic scientists will be
applied to observational data sets such as
Vostok ice core record, temperature trends,
and satellite measurements of ozone, sea ice,
ect in a hands-on, computer laboratory setting.
Students will be exposed to Fortran, IDL, and
Matlab as well as modern file formats such as
HDF and netCDF. No prior programming
experience required.
304
AOSC 658 Special Topics in
Meteorology (1-3 credits)
Prerequisite: permission of instructor.
Formerly MET0658.
Various special topics in meteorology are
given intensive study. The topic of
concentration varies, from semester to
semester and depends on student and faculty
interests. Often, specialists from other
institutions are invited to the campus on a
visiting lectureship basis to conduct the
course.
AOSC 670 Physical
Oceanography (3 credits)
Prerequisite: permission of department.
Also offered as GEOL670. Credit will be
granted for only one of the following:
GEOL670 or AOSC670. Formerly
METO670.
Ocean observations. Water masses, sources of
deep water. Mass, heat, and salt transport,
gochemical tracers. Western boundary
currents, maintenance of the thermocline.
Coastal and estuarine processes. Surface
waves and tides. Ocean climate.
AOSC 671 Air-Sea Interaction (3
credits)
Prerequisite: MATH 462. Corequisite:
METO 610. Formerly MET0671.
Observations and theories of the seasonal
changes in the ocean circulation and
temperature, and interactions with the
atmosphere. Equations of motion and theories
of wind-driven circulation. Mixed layer
observations and theories. Midlatitude and
equatorial waves. Seasonal budgets of
momentum, fresh water, and heat. El
Nino/Southern Oscillation. Interannual
variability and atmosphere-ocean coupling.
AOSC 675 Carbon Cycle and
Climate: Past, Present, and
Future (3 credits)
The fundamentals of the Earth's carbon cycle,
a key biogeochemical cycle that controls
Earth's climate and life. The changing
characteristics of the carbon cycle on several
timescales, ranging from geological,
interannual, and the more recent
anthropogenic influences on carbon cycle and
climate. The carbon cycle in the atmosphere,
land, ocean, and the biosphere. The underlying
human activities such as fossil fuel burning
and deforestation that are responsible for the
increase in the atmosphere C02 and our future
options in dealing with the carbon problem
such as alternative energy and carbon
sequestration.
AOSC 680 Introduction to Earth
System Science (3 credits)
An introduction to the study of the earth as a
system: atmosphere, oceans, land, cryosphere,
solid earth, and humans. Cylcing of materials
and energy in the earth system: the energy
cycle, the hydrologic cycle, the carbon cycle,
the nitrogen cycle. Climate processes and
variability :land-atmosphere, ocean-
atmosphere, biosphere-climate, and human
interactions, short- and long-term variability in
climate.
AOSC 684 Climate System
Modeling (3 credits)
Prerequisite: METO 617 or permission of
instructor.
Fundamentals in building computer models to
simulate the components of the climate
system: atmosphere, ocean ice, land-surface,
terrestrial and marine ecosystems, and the
biogeochemical cycles embedded in the
physical climate system, in particular, the
carbon cycle. Simple to state-of-the-art
research models to tackle problems such as the
Daisy World, El Nino and global warming.
AOSC 685 Global Climate
Change: Past and Present (3
credits)
Global climate change, an integral part of the
earth history, as opposed to historical,
anthropogenic ally induced climate change.
Record of climate change in the context of
climate forcing, climate response, and climate
feedbacks Sensitivity of climate to these
parameters and the value (and limitations) of
the proxy records. Predictions tested with the
proxy record.
AOSC 798 Directed Graduate
Research (1-3 credits)
For METO majors only. Formerly
MET0798.
AOSC 818 Frontiers in
Atmosphere, Ocean, Climate,
and Synoptic Meteorology
Research (1 credits)
Repeatable to 18 credits if content differs.
A broad range of topics in the contemporary
sciences of atmosphere, ocean, climate and
synoptic meteorology are covered.
AOSC 898 Pre-Candidacy
Research (1-8 credits)
AOSC 899 Doctoral Dissertation
Research (1-8 credits)
Arabic (ARAB)
ARAB 401 Readings in Arabic
Literature (3 credits)
Not for native speakers of Arabic.
Prerequisite: ARAB305 or permission of
department.
A survey of Modern Arabic literature is given
through a range of selected texts. Texts are
studied as literature with constant reference to
the social, cultural and political contexts in
which they were written. Taught in Arabic.
ARAB 402 Arabic English
Translation (3 credits)
Not open to native speakers of Arabic.
Prerequisite: ARAB305 or permission of
department.
In-depth practicum in translation from Arabic
to English. Exploration of the complexities of
translation as an exercise of textual
interpretation and linguistic transformation.
ARAB 412 Modern Arabic
Literature: A Survey (3 credits)
Prerequisite: ARAB305 or permission of
department.
Themes and genres of Arabic literature from
the mid- 19th century to the present. Focus on
content and acquisition of Modern Standard
Arabic. Taught in Arabic.
ARAB 489 Special Topics in
Arabic Studies (3 credits)
Prerequisite: ARAB305 or permission of
department.
In-depth study of particular aspect of Arabic
language and culture. Specific topics to be
announced when course is offered. Taught in
Arabic.
ARAB 499 Special Topics in
Arabic Studies (3 credits)
Repeatable to 6 credits if content differs.
In-depth study of particular aspect of Arabic
culture, literature and language. Specific topic
to be announced when course is offered.
ARAB 603 Advanced Arabic into
English Translation (3 credits)
Prerequisite: permission of department.
Practicum in translation from Arabic into
English. Study of interdependence of
language, context, and culture.
ARAB 604 Arabic to English
Interpreting (3 credits)
Prerequisite: permission of department.
Practicum in interpreting from Arabic into
English. On-sight, consecutive, and
simultaneous.
ARAB 610 Islamic Culture (3
credits)
Prerequisite: permission of department.
In-depth study of core topics related to Islamic
culture and society, e.g. the political system,
caliphates, reform movements, Shari's law.
Taught in Arabic.
ARAB 628 Special Topics in
Arabic Studies (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
In-depth study of a particular aspect of Arabic
Studies. Topics to be announced when course
if offered. Taught in Arabic.
ARAB 638 Special Topics in
Middle Eastern Studies (3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
In-depth study of a particular aspect of Middle
Eastern Studies. Topic to be announced when
course is offered. Taught in Arabic.
ARAB 640 Socio-political Issues
in Contemporary Arab Societies
(3 credits)
Prerequisite: permission of department.
In-depth study of major social and political
issues in Arab world today. Inter-Arab
dynamics, economic impact of globalization,
pressure for westernization in areas such as
democratic state institutions, women's rights,
human rights, role of religion in government
and the law. Taught in Arabic.
ARAB 650 The Arab World and
Comtemporary International
Relations (3 credits)
Prerequisite: permission of department.
Examines the political interests and diplomatic
positions of Arabic-speaking countries in the
contemporary international context. Taugh in
Arabic.
ARAB 788 Internship in Arabic
(3-6 credits)
Prerequisite: permission of department.
Repeatable to six credits if field or
professional experience differs.
Field and/or professional experience in a
public or private institution where Arabic is
the language of work.
ARAB 789 Independent Study in
Arabic (1-3 credits)
Prerequisite: permission of department.
Repeatable to 9 credits if content differs.
Independent study in Arabic. Taught in
Arabic.
Architecture (ARCH)
305
ARCH 400 Architecture Studio I
(6 credits)
Prerequisite: ARCH majors only.
Introduction to architectural design with
particular emphasis on conventions and
principles of architecture, visual and verbal
communication skills, formal analysis, design
process, spatial composition, architectural
promenade, basic program distribution, and
elementary constructional and environmental
responses.
ARCH 401 Architecture Studio II
(6 credits)
Prerequisite: ARCH400 with a grade of C
or better. For ARCH majors only.
Continuation of ARCH 400 with introduction
to building typology, urban and contextual
issues, design of the vertical surface, and
architectural interiors.
ARCH 402 Architecture Studio III
(6 credits)
Prerequisite: ARCH401 with a grade of C
or better. For ARCH majors only.
Architectural design studio with emphasis on
building and facade typologies, the
development of architectural promenade and
sequence, public and/or civic infill buildings
dependent upon the architectural promenade,
and urban housing types of varying densities.
The architect's obligations to urban context are
explored in many dimensions including
historical, typological, and physical.
ARCH 403 Architecture Studio
IV (6 credits)
Prerequisite: ARCH402 with a grade of C
or better. For ARCH majors only.
Investigations into the relationship between
the man-made and the natural world including
introductory issues of assembly and material
value. Design of the site and the building are
combined into an integral process delimiting
and probing the boundaries of each and
exploring their reciprocal relationship. The
architect's obligations to the natural and urban
contexts are explored in many dimensions
including historical, typological,
environmental, and physical.
ARCH 404 Graduate
Architecture Design Studio I (6
credits)
Restricted to Master of Architecture majors
only. Recommended: For 3 1/2 year
graduate students only.
Introduction to architectural design with
particular emphasis on conventions and
principles of architecture , visual and verbal
communication skills, formal analysis, design
process, spatial composition, architectural
promenade, basic program distribution, and
elementary constructional and environmental
responses. Offered fall only.
ARCH 405 Graduate
Architecture Design Studio II (6
credits)
Prerequisite: ARCH404 with a grade of C
(2.0) or better. For Master of Architecture
majors only.
Architectural design studio with emphasis on
building and facade typologies, the
development of architectural promenade and
sequence, public and/or civic infill buildings
dependent upon the architectural promenade,
and urban housing types of varying densities.
The architect's obligations to urban context are
explored in many dimensions including
historical, typological, and physical. Offered
spring only.
ARCH 406 Graduate
Architecture Design Studio III (6
credits)
Prerequisite: ARCH405 with a grade of C
(2.0) or better. For Master of Architecture
majors only.
Investigations into the relationship between
the man-made and the natural world including
introductory issues of assembly and material
value. Design of the site and the building are
combined into an integral process delimiting
and probing the boundaries of each and
exploring their reciprocal relationship. The
architect's obligations to the natural and urban
contexts are explored in many dimensions
including historical, typological,
environmental, and physical.
ARCH 407 Graduate
Architecture Design IV (6
credits)
Prerequisite: ARCH406 with a grade of C
(2.0) or better. For Master of Architecture
majors only.
Studio problems and theories concentrating on
urbanism and urban design techniques. Issues
and sites range from high-density urban in-fill
to suburban and greenfield development in
American and other contexts. Studio theories
explore such topics as Contextualism, Neo-
Traditional design, Transit Oriented
Development, density, sustainable
development, building typology, and street
design.
ARCH 408 Intermediate
Architectural Drawing (1-6
credits)
Prerequisite: ARCH403 or equivalent and
permission of department. Repeatable to 6
credits if content differs .
Topical problems in architecture and urban
design.
ARCH 410 Architecture
Technology I (4 credits)
Prerequisites: MATH140 orMATH220;
PHYS121 and (one of the
following:BSCI205, GEOG140, GEOL120,
GEOL123/AOSC123/MET0123/GEOG12
3). Corequisite: ARCH400. For ARCH
majors only.
First course in a four course sequence which
develops the knowledge and skills of
architectural technology. Addresses climate,
human responses to climate, available
materials, topography and impact on culture.
Principles of assembly, basic structural
principles and philosophies of construction.
ARCH 411 Technology II (4
credits)
Prerequisite: ARCH410. Corequisite:
ARCH401. For ARCH majors only.
Second course in a four course sequence.
Building construction processes and
terminology; use and performance
characteristics of primary building materials;
principles of structural behavior related to the
building systems; equilibrium and stability,
stiffness and strength, types of stress,
distribution of force and stress, resolution of
forces, reactions, bending moments, shear,
deflection, buckling.
ARCH 412 Architecture
Technology III (4 credits)
Prerequisite: ARCH411 with a grade of C
or better. Corequisite: ARCH402. For
ARCH majors only.
Third course in a four course sequence. Design
of steel, timber, and reinforced concrete
elements and subsystems; analysis of
architectural building systems. Introduction to
design for both natural and man-made hazards.
ARCH 413 Architecture
Technology IV (4 credits)
Prerequisite: ARCH412. Corequisite:
ARCH403. For ARCH majors only.
Final course in a four course sequence.
Theory, quantification, and architectural
design applications for HVAC, water systems,
fire protection electrical systems, illumination,
signal equipment, and transportation systems.
ARCH 418 Selected Topics in
Architectural Technology (1-3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
ARCH 419 Independent Studies
in Architectural Technology (1-4
credits)
Repeatable to 6 credits.
Proposed work must have a faculty sponsor
and receive approval of the curriculum
committee.
ARCH 420 History of American
Architecture (3 credits)
Prerequisite: ARCH221 or permission of
department.
American architecture from the late 17th to the
21st century.
ARCH 422 History of Greek
Architecture (3 credits)
Prerequisite: ARCH221 or permission of
department.
Survey of Greek architecture from 750-100
B.C.
ARCH 423 History of Roman
Architecture (3 credits)
Prerequisite: permission of department.
Survey of Roman architecture from 500 B.C.
ToA.D. 325.
ARCH 426 Fundamentals of
Architecture (3 credits)
Prerequisite: admission to 3 1/2 year M.
ARCH program. For ARCH majors only.
Thematic introduction of a variety of skills,
issues, and ways of thinking that bear directly
on the design and understanding of the built
world.
ARCH 427 Theories of
Architecture (3 credits)
Prerequisite: ARCH426 or permission of
department. For ARCH majors only.
Selected historical and modern theories of
architectural design.
ARCH 428 Selected Topics in
Architectural History (1-3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Special topics in the history of architecture.
ARCH 429 Independent Studies
in Architectural History (1-4
credits)
Repeatable to 6 credits.
Proposed work must have faculty sponsor and
receive approval of the Curriculum
Committee.
306
ARCH 433 History of
Renaissance Architecture (3
credits)
Prerequisite: ARCH221 or permission of
department.
Renaissance architectural principles and trends
in the 15th and 16th centuries and their
modifications in the Baroque period.
ARCH 434 History of Modern
Architecture (3 credits)
Prerequisite: ARCH221 or permission of
department.
Architectural trends and principles from 1750
to the present, with emphasis on developments
since the mid- 19th century.
ARCH 435 History of
Contemporary Architecture (3
credits)
Prerequisite: ARCH221 or permission of
department.
Architectural history from World War II to the
present.
ARCH 442 Studies in the
Vertical Surface (3 credits)
Prerequisite: ARCH401 or permission of
department.
Theories of analysis and design related to
vertical surface. Exercises include
documentation, analysis, and design of
facades.
ARCH 443 Visual
Communication For Architects
(3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
Admission to the 3 1/2 year M. ARCH
program. Corequisite: ARCH400. For
ARCH majors only.
Investigation of the relationship between
drawing from life and architectural drawing,
the conventions of architectural drawing and
the role of architectural drawing as a means to
develop, communicate, and generate
architectural ideas.
ARCH 445 Visual Analysis of
Architecture (3 credits)
Prerequisite: ARCH400 or permission of
department. For ARCH majors only.
Study of visual principles of architectural and
urban precedents through graphic analysis.
Exercises include on-site observation,
documentation and analysis. Focuses on the
development of an architect's sketchbook as a
tool for life-long learning.
ARCH 448 Selected Topics in
Visual Studies for Architects (1-
3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
ARCH 449 Independent Studies
in Visual Studies for Architects
(1-4 credits)
Repeatable to 6 credits.
Proposed work must have a faculty sponsor
and receive approval of the Curriculum
Committee.
ARCH 456 Great Cities (3
credits)
Prerequisite: permission of department.
Case studies from a selection of the great cities
of the world.
ARCH 458 Selected Topics in
Urban Planning (1-4 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Proposed work must have a faculty sponsor
and receive approval of the Curriculum
Committee.
ARCH 459 Independent Studies
in Urban Planning (1-4 credits)
Repeatable to 6 credits.
Proposed work must have a faculty sponsor
and receive approval of the curriculum
committee.
ARCH 460 Site Analysis and
Design (3 credits)
Prerequisite: ARCH400 or permission of
department. For ARCH majors only.
Principles and methods of site analysis; the
influence of natural and man-made site factors
on site design and architectural form.
ARCH 461 Sustainability in
Architecture (3 credits)
Prerequisite: ARCH401 and ARCH410 or
permission of department. Credit will be
granted for only one of the following:
ARCH418S or ARCH461. Formerly
ARCH418S.
Strategies of sustainability as related to the
broader context of architectural problem
solving.
ARCH 470 Computer
Applications in Architecture (3
credits)
Prerequisite: ARCH400 or permission of
department. For ARCH majors only.
Introduction to computer utilization, with
emphasis on architectural applications.
ARCH 478 Selected Topics in
Architecture (1-4 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
ARCH 479 Independent Studies
in Architecture (1-4 credits)
Repeatable to 6 credits.
Proposed work must have a faculty sponsor
and receive approval of the Curriculum
Committee.
ARCH 481 The Architect in
Archaeology (3 credits)
Prerequisite: permission of department.
The role of the architect in field archaeology
and the analysis of excavating, recording, and
publishing selected archaeological
expeditions.
ARCH 482 The Archaeology of
Roman and Byzantine Palestine
(3 credits)
Archaeological sites in Palestine (Israel and
Jordan) from the reign of Herod the Great to
the Moslem conquest.
ARCH 483 Field Archaeology (3
credits)
Prerequisite: permission of department.
Participation in field archaeology with an
excavation officially recognized by proper
authorities of local government.
ARCH 488 Selected Topics in
Architectural Preservation (1-3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
ARCH 489 Independent Studies
in Architectural Preservation (1-
4 credits)
Repeatable to 6 credits.
Proposed work must have a faculty sponsor
and receive approval of the Curriculum
Committee.
ARCH 600 Comprehensive
Design Studio V (6 credits)
Prerequisite: ARCH 403 or equivalent.
Corequisite: ARCH61 1. For ARCH majors
only.
Comprehensive building and site design.
Course content bridges the gap between design
and technology, between practice and
education, in a studio setting. Explorations
include the integration of conceptual and
technical aspects of architectural form and
assembly, highlighting the ways in which
multiple layers of a building design are
developed, coordinated and resolved.
ARCH 601 Topical Studio (6
credits)
Prerequisite: ARCH 600. For ARCH
majors only.
Topical architectural design studio with
concentration on advanced theoretical,
programmatic, contextual, and/or technical
issues, with topical inquiry addressing but not
limited to: architectural competitions, housing,
sustainable design, collegiate architecture,
regional architecture, classicism versus
modernity.
ARCH 611 Advanced
Architecture Technology
Seminar (3 credits)
Prerequisite: ARCH 413. Corequisite:
ARCH 600. For ARCH majors only.
Technology in design of buildings.
Application of technological issues in building
design; integration of technology in
architecture; technology as a form determinant
in architecture; other conceptual and
philosophical issues related to the application
of technology in the design, construction, and
use of buildings.
ARCH 628 Selected Topics in
Architectural History (1-3
credits)
Prerequisite: permission of department.
Repeatable to 06 credits if content differs.
Special topics in the history of architecture.
ARCH 629 Independent Studies
in Architectural History (1-4
credits)
Repeatable to 06 credits if content differs.
Proposed work must have faculty sponsor and
receive approval of the Curriculum
Committee.
ARCH 635 Seminar in the
History of Modern Architecture
(3 credits)
Prerequisite: ARCH 427 or permission of
department.
Advanced investigation of historical problems
in modern architecture.
ARCH 654 Urban Development
and Design Theory (3 credits)
Prerequisite: ARCH401 or permission of
department.
Advanced investigation into the history, and
practice of urban design, planning, and
development.
307
ARCH 655 Urban Design
Seminar (3 credits)
Prerequisite: ARCH654 or permission of
department. Credit will be granted for only
one of the following: ARCH451 or
ARCH655. Formerly ARCH451.
Advanced investigation into problems of
analysis and evaluation of the design of urban
areas, spaces, and complexes with emphasis
on physical and social considerations; effects
of public policies through case studies. Field
observations.
ARCH 670 Advance
Comprehensive Computer
Technology in Architecture (3
credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite: ARCH
403 and ARCH 470 or equivalent. Credit
will be granted for only one of the
following: ARCH 678C or ARCH 670.
Formerly ARCH678C.
Comprehensive use of computer technology in
the design process. Use of digital versus
analog modeling to study design alternatives.
Methods of representation to best convey
concepts and integration of technology.
ARCH 672 Seminar in Type and
Typology (3 credits)
Prerequisite: ARCH402 or permission of
department.
The idea of type and typology, its implications
for theory, scholarship, and practice in
achitecture and urban design.
ARCH 673 Building Culture (3
credits)
One hour of lecture and two hours of
discussion/recitation per week.
Prerequisite: ARCH 402 or permission of
department. For ARCH majors only.
Comprehension of major themes in the
development of architectural building
techniques and culture value systems in
architecture are developed through lecture,
discussion and analysis of seminal readings
and buildings.
ARCH 674 Seminar in
Regionalism (3 credits)
Prerequisite: ARCH600 or permission of
department.
Regional characterisitics of culture, climate,
and landscape as determinants world
architecture.
ARCH 676 Field Research in
Architecture (3 credits)
Prerequisite: ARCH600 or permission of
department.
Recording and analysis of significant
architectural complexes in situ.
ARCH 678 Selected Topics in
Architecture (1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
ARCH 679 Independent Studies
in Architecture (1-4 credits)
Prerequisite: Proposed work must have
faculty sponsor and receive approval of the
Cirriculum Committee. Repeatable to 04
credits if content differs.
ARCH 700 Advanced Urban
Design Studio VII (6 credits)
Prerequisite: ARCH 600 or permission of
department.
Studio problems and theories concentrating on
urbanism and urban design techniques. Issues
and sites range from high density urban in-fill
to suburban and greenfield development in
American and other contexts. Studio theories
explore such topics as Contextual ism, Neo-
Traditional design, Transit-Oriented
Development, density, sustainable
development building typology, and street
design.
ARCH 770 Professional Practice
(3 credits)
Prerequisite: ARCH 601 or permission of
department. For ARCH majors only.
Project management, organizational, legal,
economic and ethical aspects of architecture.
ARCH 778 Selected Topics in
Urban Planning (1-3 credits)
Prerequisite: permission of department.
Repeatable to 06 credits if content differs.
ARCH 797 Thesis Proseminar (3
credits)
Prerequisite: ARCH 601.
Directed research and preparation of thesis
program.
ARCH 798 Thesis in
Architecture (3 credits)
Prerequisite: ARCH 797, permission of
department and 3.0 overall GPA.
Corequisite: ARCH 799. For ARCH majors
only.
Complements the research of ARCH 799, with
presentation of the design research to student's
thesis committee.
ARCH 799 Master's Thesis
Research (1-6 credits)
12 hours of laboratory per week.
Prerequisites: ARCH797, permission of
department and 3.0 GPA overall.
Corequisite: ARCH 798. For ARCH majors
only. Repeatable to 6 credits if content
differs.
Development of master's thesis.
Agriculture and
Resource
Economics (AREC)
AREC 404 Applied Price
Analysis (3 credits)
Restricted to Agricultural & Resource
Economics majors (0111C &0111O),
Environmental Science and Policy
Environmental Economics concentration
majors (2299D), and those minoring in
Agribusiness Economics (#AG01),
Environmental Economics and Policy
(#AG02), or Resource and Agricultural
Policy in Economic Development (#AG03)
Other students will be taken off the hold
file on the first day of class as space allows.
Prerequisite: ECON306, ECON326 or
equivalent.
An introduction to the economic analysis of
price behavior, with applications to
agricultural commodities. The use of price
information in the dec is ion -making process,
the relation and supply and demand in
determining price, and the relation of prices to
grade, time, location, and stages of processing
in the marketing system.
AREC 405 Economics of
Production (3 credits)
Restricted to Agricultural & Resource
Economics majors (01 1 1C &01 1 lO),
Environmental Science and Policy
Environmental Economics concentration
majors (2299D), and those minoring in
Agribusiness Economics (#AG01),
Environmental Economics and Policy
(#AG02), or Resource and Agricultural
Policy in Economic Development
(#AG03). Other students will be taken off
the hold file on the first day of class as
space allows. Prerequisite: ECON306,
ECON326 or equivalent.
The use and application of production
economics in analysis of firm and policy
decisions. Production functions, cost
functions, multiple product and joint
production, and production processes through
time.
AREC 425 Economics of Food
Sector (3 credits)
Corequisite: ECON306, ECON326, or
equivalent. Credit will be granted for only
one of the following: AREC425 or
AREC489B. Formerly AREC489B.
Economic analysis of food sector issues,
including food safety, agricultural
biotechnology, and coordination mechanisms
in the food supply chain.
AREC 427 Economics of
Commodity Marketing Systems
(3 credits)
Restricted to Agricultural & Resource
Economics majors (01 1 1C &01 1 lO),
Environmental Science and Policy
Environmental Economics concentration
majors (2299D), and those minoring in
Agribusiness Economics (#AG01),
Environmental Economics and Policy
(#AG02), or Resource and Agricultural
Policy in Economic Development (#AG03)
Other students will be taken off the hold
file on the first day of class as space allows.
Prerequisite: ECON306, ECON326 or
equivalent.
Basic economic theory as applied to the
marketing of agricultural commodities.
Current developments affecting market
structure including contractual arrangements,
cooperative marketing, vertical integration,
and governmental policies.
AREC 433 Food and Agricultural
Policy (3 credits)
Restricted to Agricultural & Resource
Economics majors (01 1 1C &01 1 lO),
Environmental Science and Policy
Environmental Economics concentration
majors (2299D), and those minoring in
Agribusiness Economics (#AG01),
Environmental Economics and Policy
(#AG02), or Resource and Agricultural
Polcy in Economic Development (#AG03).
Other students will be taken off the hold
file on the first day of class as space allows.
Prerequisite: ECON306, ECON326 or
equivalent.
Economic and political context of
governmental involvement in the farm and
food sector. Historical programs and current
policy issues. Analysis of economic effects of
agricultural programs, their benefits and costs,
and comparison of policy alternatives.
Analyzes the interrelationship among
international development, agricultural trade
and general economic and domestic
agricultural policies.
308
AREC 435 Commodity Futures
and Options (3 credits)
Two hours of lecture and two hours of
laboratory per week. Restricted to
Agricultural & Resource Economics majors
(01 1 1C &01 1 10), Environmental Science
and Policy Environmental Economics
concentration majors (2299D), and those
minoring in Agribusiness Economics
(#AG01), Environmental Economics and
Policy (#AG02), or Resource and
Agricultural Policy in Economic
Development (#AG03). Other students will
be taken off the hold file on the first day of
class as space allows. Prerequisite:
ECON306, ECON326 or equivalent; and
BMGT230,ECON321 or equivalent.
The economics and institutional features of
commodity futures and options markets.
Students will develop a basic understanding of
the underlying price relationships between
cash and futures markets and will apply this
information to business risk management
decision making.
AREC 445 Agricultural
Development, Population
Growth and the Environment (3
credits)
Restricted to Agricultural & Resource
Economics majors (01 1 1C &01 1 lO),
Environmental Science and Policy
Environmental Economics concentration
majors (2299D), and those minoring in
Agribusiness Economics (#AG01),
Environmental Economics and Policy
(#AG02), or Resource and Agricultural
Policy in Economic Development
(#AG03). Other students will be taken off
the hold file on the first day of class as
space allows. Prerequisite: ECON306,
ECON326 or equivalent.
Development theories, the role of agriculture
in economic development, the agricultural
policy environment, policies impacting on
rural income and equity, environmental
impacts of agricultural development.
AREC 453 Natural Resources
and Public Policy (3 credits)
Restricted to Agricultural & Resource
Economics majors (01 1 1C &01 1 lO),
Environmental Science and Policy
Environmental Economics concentration
majors (2299D), and those minoring in
Agribusiness Economics (#AG01),
Environmental Economics and Policy
(#AG02), or Resource and Agricultural
Policy in Economic Development
(#AG03). Other students will be taken off
the hold file on the first day of class as
space allows. Prerequisite: ECON306,
ECON326 or equivalent.
Rational use and reuse of natural resources.
Theory, methodology, and policies concerned
with the allocation of natural resources among
alternative uses. Optimum state of
conservation, market failure, safe minimum
standard, and cost-benefit analysis.
AREC 454 The Economics of
Climate Change (3 credits)
Prerequisite: ECON306 orECON326.
Restricted to Agricultural and Resource
Economics majors (01 1 1C and 01 1 lO),
Environmental Science and Policy
Environmental Economics Concentration
majors (2299D), and those minoring in
Agribusiness Economics (#AG01),
Environmental Economics and Policy
(#AG02), or Resource and Agricultural
Policy in Economic Development
(#AG03). Other students will be taken off
the holdfile on the first day of class as
space allows. Credit will be granted for
only one of the following: AREC454 or
AREC489C. Formerly AREC489C.
The role of economics in the formation of
climate policy; basic concepts of
environmental economics including efficiency,
externalities, and policy instruments;
economic models of intertemporal decisions
and decision making in the face of uncertainty.
Applied economic analysis of specific issues
and current policy initiatives.
AREC 455 Economics of Land
Use (3 credits)
Restricted to Agricultural & Resource
Economics majors (0111C &0111O),
Environmental Science and Policy
Environmental Economics concentration
majors (2299D), and those minoring in
Agribusiness Economics (#AG01),
Environmental Economics and Policy
(#AG02), or Resource and Agricutural
Policy in Economic Development
(#AG03). Other students will be taken off
the hold file on the first day of class as
space allows. Prerequisite: ECON306,
ECON326 or equivalent.
Fundamentals of location theory.
Microeconomics of land use decisions,
including determination of rent and hedonic
pricing models. Impacts of government
decisions on land use, including regulation
(e.g., zoning), incentives (transferable
development rights), provision of public
services, and infra structure investments.
Impacts of land use on environmental quality,
including issues relating to sprawl, agricultural
land preservation, and other topics of special
interest.
AREC 489 Special Topics in
Agricultural and Resources
Economics (3 credits)
Repeatable to 9 credits.
AREC 610 Microeconomic
Applications in Agricultural and
Resource Markets (3 credits)
Three hours of lecture and one and one-half
hours of discussion per week. Prerequisite:
ECON 603.
Applications of graduate level microeconomic
analysis to the problems of agricultural and
natural resource production and distribution
including demand for agricultural output, the
nature of agricultural supply decisions, farm
labor issues, land rental and acquisition, and
exploitation of natural resources.
AREC 620 Optimization in
Agricultural and Resource
Economics (3 credits)
Three hours of lecture and one and one-half
hours of discussion per week. Prerequisite:
differential calculus and one course in
matrix or linear algebra.
Mathematical theory of optimization as it is
used in agricultural and resource economics.
Topics include necessary and sufficient
conditions for nonlinear programming and
related Kuhn-Tucker and saddle point theory,
convexity and concavity, existence and
uniqueness, duality and the envelope theorem,
the discrete maximum principle, and control
theory and dynamic optimization.
AREC 623 Applied
Econometrics I (4 credits)
Three hours of lecture and one and one-half
hours of discussion per week.
Fundamentals of mathematical statistics for
applications in econometrics. Development of
the standard linear model and computer
applications in applied econometric problems.
AREC 624 Applied
Econometrics II (4 credits)
Three hours of lecture and one and one-half
hours of discussion per week.
Variations of the standard linear model and
simultaneous equations estimation.
Application of econometric tools including
nonlinear regression, nonlinear simultaneous
equations estimation, qualitative econometric
models including logit, probit, and tobit
models, varying parameters models,
unobserved variables, time series models, and
model selection procedures.
AREC 625 Economic Welfare
Analysis (3 credits)
Also offered as AREC 825. Credit will be
granted for only one of the following:
AREC 625 or AREC 825.
The measurement of economic well-being for
producers, consumers, and resource owners.
Topics include competitive equilibrium, Pareto
optimality, market failure, public goods and
nonmarket welfare measurement, multimarket
considerations, existing distortions, and
second best. Applications in economic welfare
analysis of agricultural and resource policies
are discussed.
AREC 632 Agricultural Policy
Analysis (3 credits)
Also offered as AREC 832. Credit will be
granted for only one of the following:
AREC 632 or AREC 832.
The economics of agricultural policies.
Methods for analyzing costs and benefits of
price supports, import restraints, and other
policies for producers, consumers, and
taxpayers. Farm programs of the U.S., other
industrial countries and developing countries
including interventions in both domestic
markets and international trade are covered
along with their consequences for factor
owners and related commodity markets.
Theories of the farm problem and possible
remedies are offered.
AREC 645 Environment and
Development Economics (3
credits)
Also offered as AREC 845. Credit will be
granted for only one of the following:
AREC 645 or AREC 845.
Considers neoclassical and endogenous
growth models; international trade theory; the
role of property right institutions and factor
markets; the environmental impact of trade
liberalization in developing countries and the
environmental effects of increasing
international capital mobility; empirical
studies relating the environment to growth and
globalization; and policy analyses.
AREC 689 Special Topics in
Agricultural and Resource
Economics (3 credits)
Subject matter taught will be varied and will
depend on the persons available for teaching
309
unique and specialized phases of agricultural
and resource economics. The course will be
taught by the staff or visiting agricultural and
resource economists who may be secured on
lectureship or visiting professor basis.
AREC 699 Special Problems in
Agricultural and Resource
Economics (1-2 credits)
Intensive study and analysis of specific
problems in the field of agricultural and
resource economics, providing in-depth
information in areas of special interest to the
student.
AREC 753 Economics of
Renewable Natural Resources (3
credits)
Prerequisite: AREC 610; and AREC 620;
or permission of department.
Basic models of renewable natural resources.
Current research issues concerning natural
resources with emphasis on problems in
commercial and recreational fisheries,
forestry, water, fugitive wildlife, and
agriculture. Policies to correct related market
failures.
AREC 785 Advanced Economics
of Natural Resources (3 credits)
Prerequisite: ECON 603 and AREC 623 or
permission of department. Also offered as
ECON 785. Credit will be granted for only
one of the following: AREC 785 or ECON
785.
The use of exhaustible and renewable natural
resources from normative and positive points
of view. Analysis of dynamic resource
problems emphasizing energy, mineral,
groundwater, forestry, and fishery resources;
optimal, equilibrium, and intergenerational
models of resource allocation.
AREC 799 Master's Thesis
Research (1-6 credits)
AREC 815 Experimental and
Behavioral Economics (3
credits)
Prerequisite: AREC623, AREC624, and
ECON603; or equivalent. Credit will be
granted for only one of the following:
AREC815 or AREC869A. Formerly
AREC869A.
This course discusses recent experimental and
behavioral economics literature. Discusses
experimental methods, recent experimental
findings and new behavioral theory that
adjusts standard neoclassical models in order
to explain observed behavioral patterns, which
commonly occur but are paradoxical for
traditional models.
AREC 825 Advanced Economic
Welfare Analysis (3 credits)
Also offered as AREC 625. Credit will be
granted for only one of the following:
AREC 625 or AREC 825.
Theory of economic welfare measurement,
problems of path dependence in evaluating
multiple price changes, welfare measurement
under risk, general equilibrium welfare
measurement with multiple distortions, and
applications in evaluation of agricultural and
resource policies.
AREC 829 Topics in Applied
Econometrics (3 credits)
Two hours of lecture and two hours of
discussion/recitation per week.
Prerequisite: AREC 623 and AREC 624 or
permission of instructor.
Topics in applied econometrics. Topics vary
from year to year.
AREC 832 Advanced
Agricultural Policy Analysis (3
credits)
Also offered as AREC 632. Credit will be
granted for only one of the following:
AREC 632 or AREC 832.
Research problems in agricultural policy that
include models and methods for explaining the
consequences and causes of intervention in
agricultural commodity markets. Quantitative,
market level analysis of the implications of
uncertainty, strategic behavior in international
trade, second-best policies, the general
equilibrium analysis of intervention, and the
political economy of collective action in farm
policy.
AREC 845 Environment and
Development Economics (3
credits)
Also offered as AREC 645. Credit will be
granted for only one of the following:
AREC 645 or AREC 845.
Considers neoclassical and endogenous
growth models; international trade theory; the
role of property right institutions and factor
markets; the environmental impact of trade
liberalization in developing countries and the
environmental effects of increasing
international capital mobility; empirical
studies relating the environment to growth and
globalization; and policy analyses.
AREC 846 Development
Microeconomics (3 credits)
Prerequisite: ECON603; and
AREC623/AREC624; or equivalent.
Formerly AREC869E.
Development economics with focus on issues
applicable to rural development and
agriculture in developing countries. Focuses
on both theory and empirical application of
theory.
AREC 859 Advanced Topics in
Natural Resource Economics (1-
3 credits)
Repeatable to 9 credits if content differs.
Intertemporal considerations in natural
resource problems including irreversibility and
stochastic control. Nonmarket welfare
measurement and nonconsumptive values,
option/quasi-option and existence values,
applications to extinction and uncertainty, and
alternative expectations in common property
resource problems.
AREC 869 Advanced Topics in
Agricultural Economics (1-3
credits)
Repeatable to 9 credits if content differs.
Frontiers of research in agricultural policy,
agricultural production, international trade,
and agricultural development. Decision
making under risk and related market
institutions, principal agent analysis, optimal
policy design, technology adoption, market
structure, land and credit markets, information
markets, and income distribution.
AREC 898 Pre-Candidacy
Research (1-8 credits)
AREC 899 Doctoral Dissertation
Research (1-8 credits)
Arts and Humanities
(ARHU)
ARHU 439 Interdisciplinary
Studies in Arts and Humanities
(3 credits)
Repeatable to 6 credits if content differs.
An interdisciplinary exploration of
chronological, geographical or thematic topics
in Arts and Humanities.
ARHU 468 Peer Mentoring
Program (1 credits)
Prerequisite: permission of department.
Sophomore standing. Repeatable to 3
credits if content differs.
A workshop for sophomore, junior or senior
students who wish to serve as peer mentors
helping first-year students to cope with the
numerous issues which often arise in the
transition to the university.
ARHU 486 Internship Practicum
in Arts and Humanities (3-6
credits)
No more than 12 credits of internship can
count toward a degree. Prerequisite: 60 or
more credits completed (12 credits at U of
MD), 2.5 GPA, have completed previous
internship at U of MD; and permission of
department (ARHU Internship
Coordinator). For ARHU majors only.
An internship intended for students who have
already completed an internship for credit.
ARHU486 must be a different experience
from the internship students have already
taken for credit. Generally students intern with
a different company, but they may continue
working for the same company if the job is
significantly different. See ARHU internship
coordinator for details.
ARHU 498 Special Topics in
Arts and Humanities (3 credits)
Repeatable if content differs.
ARHU 786 Leadership and
Professional Development
Internship (1-6 credits)
Prerequisite: One semester of full-time
graduate study. By permission of student's
department. Students must be in good
standing and demonstrate satisfactory
progress toward degree.
Professional development for graduate
students interested in careers in non-academic
organizations and in administrative positions
in academic institutions. See department
graduate program director for internship
guidelines and applicability to graduate
program requirements.
Army (ARMY)
ARMY 401 Advanced Military
Leadership III (3 credits)
Three hours of lecture and five hours of
laboratory per week. Prerequisite:
Permission of Army ROTC. Senior
standing.
Introduces contracted students to the study of
Army structure, practices and processes
exercised by Army Commanders and Staff in
completing personnel, logistics, training and
combat operations. Includes a laboratory in
applied leadership skills and two field
exercises.
ARMY 402 Advanced Military
Leadership IV (3 credits)
310
Three hours of lecture and five hours of
laboratory per week. Prerequisite:
Permission of Army ROTC. Senior
standing.
The military system and code of ethics in the
military environment is studied. Topics
include code of conduct during all forms of
military operations, the Geneva Conventions
and the ethical decision making process. Also
includes a laboratory in applied leadership
skills, fitness excellence and two field
exercises.
Air Science (ARSC)
ARSC 400 National Security
Forces in Contemporary
American Society I (3 credits)
Open to all University students.
Prerequisite: ARSC300 or ARSC301; or
permission of department. Corequisite:
ARSC059 or permission of department
(corequisite applies to only cadets). Senior
standing.
Study of American national security policy
and processes to include information and
implementation, impact of major national and
international actors, and development of major
policy issues. This course will satisfy credit
towards a minor in military studies.
ARSC 401 National Security
Forces in Contemporary
American Society II (3 credits)
Open to all University students.
Prerequisite: ARSC300 or ARSC301; or
permission of department. Corequisite:
ARSC059 or permission of department
(corequisite applies to only cadets). Senior
standing.
This course examines various subjects
including: military law/justice, preparation for
active duty, and current issues affecting
military professionalism. This course will
satisfy credit towards a minor in military
studies.
Art History &
Archaeology (ARTH)
ARTH 418 Special Problems in
Italian Renaissance Art (3
credits)
Repeatable to 6 credits if content differs.
Focus upon aspects of painting, sculpture, and
architecture of Renaissance.
ARTH 426 Renaissance and
Baroque Sculpture in Northern
Europe (3 credits)
Sculpture in France, Germany, England, and
the Low Countries from the fourteenth to the
seventeenth century.
ARTH 444 British Painting,
Hogarth to the Pre-Raphaelites
(3 credits)
A survey of British painting focusing on the
establishment of a strong native school in the
genres of history painting, narrative subjects,
portraiture, sporting art, and landscape.
ARTH 452 Between East and
West: Modernism in East and
Central Europe (3 credits)
Explores the modernist movements of Eastern
and Central Europe, beginning with Russia,
circa 1861.
ARTH 456 Photography Since
World War II (3 credits)
Prerequisite: ARTH201. Credit will be
granted for only one of the following:
ARTH456 or (ARTH489B taken in Spring
2006). Formerly ARTH489B.
An exploration of the many uses and meanings
of photography since 1945.
ARTH 465 The Landscape in
Modern and Contemporary Art
(3 credits)
Prerequisite: ARTH201. Credit will be
granted for only one of the following:
ARTH465 or (ARTH489B taken in Spring
2008 or Spring 2009). Formerly
ARTH489B.
A consideration of the representations of
outdoor spaces since 1850. Focuses on the
ways in which artists have understood and
tried to make sense of modern and postmodern
cities, suburbs, and rural areas.
ARTH 466 Feminist
Perspectives on Women in Art
(3 credits)
Also offered as WMST466. Credit will be
granted for only one of the following:
ARTH466 or WMST466.
Principal focus on European and American
women artists of the 19th and 20th centuries,
in the context of the new scholarship on
women.
ARTH 485 Chinese Painting (3
credits)
Chinese painting history from the second
century B.C. through the twentieth century,
covering cultural, stylistic and theoretical
aspects.
ARTH 486 Japanese Painting (3
credits)
Japanese painting from the sixth through the
nineteenth century, including Buddhist icon
painting, narrative scrolls, and Zen-related ink
painting.
ARTH 488 Colloquium in Art
History (3 credits)
Prerequisite: permission of department.
Repeatable to 9 credits if content differs.
Colloquium to investigate a specific topic in
depth.
ARTH 489 Special Topics in Art
History (3 credits)
Prerequisite: permission of department.
Repeatable to 9 credits if content differs.
ARTH 496 Methods of Art
History and Archaeology (3
credits)
Prerequisite: permission of department. For
ARTH majors only.
Methods of research and criticism applied to
typical art- historical/ archaeological problems,
familiarizing the student with bibliography and
other research tools. Introduction to the
historiography of art history and archaeology,
surveying the principal theories, encouraging
methodological debates within the discipline.
Course for majors who intend to go on to
graduate school.
ARTH 498 Directed Studies in
Art History I (2-3 credits)
Prerequisite: permission of department.
Repeatable if content differs. Junior
standing.
ARTH 499 Honors Thesis (1-6
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
ARTH 608 Studies in Ancient Art
and Archaeology (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 609 Studies in Late
Roman, Early Christian, and
Byzantine Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 618 Studies in Medieval
Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 619 Studies in Italian
Renaissance Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 628 Studies in Fourteenth
and Fifteenth Century Northern
European Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 629 Studies in Sixteenth-
Century Northern European Art
(3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 638 Studies in
Seventeenth-Century Southern
European Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 639 Studies in
Seventeenth-Century Northern
European Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 648 Studies in
Eighteenth-Century European
Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 649 Studies in
Nineteenth-Century European
Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 658 Studies in American
Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 659 Studies in Twentieth-
Century Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 668 Studies in Latin
American Art and Archaeology
(3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 669 Studies in African Art
(3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
311
ARTH 678 Studies in Chinese
Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 679 Studies in Japanese
Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 689 Selected Topics in Art
History (1-3 credits)
Repeatable to 9 credits.
ARTH 692 Methods of Art
History (3 credits)
Methods of research and criticism applied to
typical art-historical problems; bibliography
and other research tools.
ARTH 699 Special Topics in Art
History (3 credits)
Prerequisite: consent of department head or
instructor.
ARTH 708 Seminar in Ancient
Art and Archaeology (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 709 Seminar in Late
Roman, Early Christian, and
Byzantine Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 718 Seminar in Medieval
Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 719 Seminar in Italian
Renaissance Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 728 Seminar in
Fourteenth and Fifteenth-
Century Northern European Art
(3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 729 Seminar in Sixteenth-
Century Northern European Art
(3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 738 Seminar in
Seventeenth-Century Southern
European Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 739 Seminar in
Seventeenth-Century Northern
European Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 748 Seminar in
Eighteenth-Century European
Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 749 Seminar in
Nineteenth-Century European
Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 758 Seminar in American
Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 759 Seminar in Twentieth-
Century Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 768 Seminar in Latin
American Art and Archaeology
(3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 769 Seminar in African
Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 778 Seminar in Chinese
Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 779 Seminar in Japanese
Art (3 credits)
Repeatable to 9 credits each in the Master's
and Ph.D. programs.
ARTH 789 Selected Topics in Art
History (1-3 credits)
9 semester hours.
ARTH 798 Directed Graduate
Studies in Art History (3 credits)
ARTH 799 Master's Thesis
Research (1-6 credits)
ARTH 898 Pre-Candidacy
Research (1-8 credits)
ARTH 899 Doctoral Dissertation
Research (1-8 credits)
Art Studio (ARTT)
ARTT 409 Study Abroad Special
Topics IV (1-6 credits)
Repeatable to 15 credits if content differs.
Special topics course taken as part of an
approved study abroad program.
ARTT 418 Advanced Drawing
Studio (3 credits)
Six hours of laboratory per week.
Prerequisite: ARTT150, ARTT200, and
ARTT210; plus one 300-level studio
course; or permission of department.
Repeatable to 12 credits. Formerly
ARTS418.
Multi-level drawing studio emphasizing
advanced concepts and processes related to
drawing; emphasis on contemporary art issues
and individual directions.
ARTT 428 Advanced Painting
Studio (3 credits)
Six hours of laboratory per week.
Prerequisite: ARTT320. Repeatable to 12
credits. Formerly ARTS428.
Multi-level painting studio emphasizing
advanced concepts and processes related to oil
and acrylic painting; emphasis on
contemporary ait issues and individual
directions in chosen media.
ARTT 438 Advanced Sculpture
Studio (3 credits)
Six hours of laboratory per week.
Prerequisites: one 300-level sculpture
course; and permission of department.
Repeatable to 12 credits. Formerly
ARTS438.
Multi-level sculpture studio; continuation of
media-specific sculpture courses with
emphasis on contemporary art issues and
individual directions in chosen media.
ARTT 448 Advanced
Printmaking Studio (3 credits)
Six hours of laboratory per week.
Prerequisites: one 300-level printmaking
course; and permission of department.
Repeatable to 12 credits. Formerly
ARTS448.
Multi-level printmaking studio; continuation
of media-specific printmaking courses with
emphasis on contemporary art issues and
individual directions in chosen media.
ARTT 449 Advanced
Photography Studio (3 credits)
Six hours of laboratory per week.
Prerequisite: ARTT353. Repeatable to 12
credits if content differs.
Advanced photographic processes and theory.
Emphasis on contemporary art issues and
individual directions.
ARTT 456 Computer Modeling
and Animation (3 credits)
Six hours of laboratory per week.
Prerequisite: ARTT354.
Introduction to computer animation as a time-
based artistic medium. Technical principles
and processes involved in the creation of an
animated short film; students will research the
various ways in which computer animation
can function as a time-based medium.
ARTT 458 Graphic Design
Portfolio (3 credits)
Six hours of laboratory per week.
Prerequisite: ARTT454. Repeatable to 09
credits if content differs.
Creation of a comprehensive professional
portfolio. Curriculum includes portfolio
preparation and presentation, contracts,
copyright issues, interviewing skills, resume
and cover-letter writing, design briefs and
proposals, and freelance business issues.
Portfolio presentation includes basics of book
arts.
ARTT 459 Three-Dimensional
Design: Form and Function (3
credits)
Six hours of laboratory per week.
Prerequisite: ARTT352. Repeatable to 12
credits if content differs.
Advanced techniques and theory of product
design, furniture design, exhibit design, and
package design.
ARTT 460 Seminar in Art Theory
(3 credits)
Senior standing.
Exploration of relationship between content
and processes of art in a contemporary multi-
cultural context.
ARTT 461 Readings in Art
Theory (3 credits)
Prerequisite: senior standing or permission
of department.
Reading and critical analysis in contemporary
art.
ARTT 463 Principles and
Theory: African-American Art (3
credits)
Not open to students who have completed
ARTH474. Formerly ARTH474.
Principles basic to the establishment of
aesthetic theories common to an ethnic or
312
minority art examined through the works of art
by Americans of African ancestry.
ARTT 464 Theories of
Contemporary Global Artmaking
(3 credits)
Prerequisite: Junior standing or permission
of department. Credit will be granted for
only one of the following: ARTT464 or
ARTT664.
Theories of contemporary global artmaking.
Examination of global contemporary art.
Influence of colonization, availability of
material, and development of images, objects,
and ideas.
ARTT 468 Seminar on the
Interrelationship Between Art
and Art Theory (3 credits)
Prerequisite: Junior standing or permission
of department. Repeatable to 6 credits if
content differs. Formerly ARTS468.
The relationship between a student's work and
the theoretical context of contemporary art.
ARTT 469 Professional Practice
(3 credits)
Prerequisite: Senior standing or permission
of department. Repeatable to 6 credits if
content differs. Formerly ARTT462.
Business aspects of being an artist, with an
emphasis on starting and maintaining a
professional career.
ARTT 479 Computer Graphics (3
credits)
Six hours of laboratory per week.
Prerequisite: ARTT354. Repeatable to 12
credits if content differs.
Advanced techniques and theory of computer
imaging, graphics, illustration, and mixed
media.
ARTT 480H Honors Seminar (3
credits)
Prerequisites: Acceptance into Department
Honors Program, completion of ARTT300
- 400H and 41 8H electives, and permission
of department.
Team-taught seminar focusing on relationship
between student's work and the theoretical
context of contemporary art.
ARTT 487 Capstone for Citation
in Interdisciplinary Multimedia
and Technology (1 credits)
Prerequisite: At least nine credits with the
citation.
Independent study: a paper or website
synthesizing the various citation learning
experiences.
ARTT 489 Advanced Special
Topics in Art (3 credits)
Six hours of laboratory per week.
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Formerly ARTS489.
Development of student's work on an
advanced studio level within the context of a
special topic.
ARTT 498 Directed Studies in
Studio Art (1-3 credits)
Six hours of laboratory per week.
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
Advanced independent work in Studio Art.
Meeting with faculty and studio time arranged.
ARTT 499 Directed Studies in
Graphic Design (1-3 credits)
Six hours of laboratory per week.
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
Advanced independent studies in Graphic
Design. Meetings with faculty and studio time
arranged,
ARTT 618 Drawing (3 credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
Independent studies in drawing for advanced
special students.
ARTT 628 Painting (3 credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
Graduate painting for advanced special
students.
ARTT 638 Sculpture (3 credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
Independent studies in sculpture for advanced
special students.
ARTT 648 Printmaking (3
credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
Independent studies in printmaking for
advanced special students.
ARTT 689 Special Problems in
Studio Art (3 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits. Formerly
ARTS689.
ARTT 698 Directed Graduate
Studies in Studio Art (1-4
credits)
Prerequisite: permission of department. For
ARTT majors only. Repeatable to 12
credits if content differs . Formerly
ARTS698.
Independent work. Meetings with faculty and
studio time arranged.
ARTT 699 Directed Graduate
Studies in Studio Art (1-4
credits)
Five hours of laboratory per week.
Prerequisite: permission of department.
Repeatable to 12 credits.
Independent graduate studies.
ARTT 718 Drawing (3 credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
Independent studies in drawing for advanced
special students.
ARTT 728 Painting (3 credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
Independent studies in painting for advanced
special students.
ARTT 738 Sculpture (3 credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
Independent studies in sculpture for advanced
special students.
ARTT 748 Printmaking (3
credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
Independent studies in printmaking for
advanced special students.
ARTT 768 Graduate Colloquium
(2 credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
Examines various aspects of art, art theory and
criticism in a contemporary and multi-cultural
context. Relates student work to this context.
ARTT 798 Directed Graduate
Studies in Studio Art (1-4
credits)
For ARTT majors only. Repeatable to 12
credits if content differs. Formerly
ARTS798.
Independent graduate studies.
ARTT 799 Master's Thesis
Research (1-6 credits)
Formerly ARTS799.
Astronomy (ASTR)
ASTR 410 Radio Astronomy (3
credits)
Prerequisites: ASTR121; {PHYS270 and
PHYS271 } or PHYS273; or permission of
department.
Introduction to current observational
techniques in radio astronomy. The radio sky,
radiophysics, coordinates and catalogs,
antenna theory, Fourier transforms,
interfero merry and arrays, aperture synthesis,
and radio detectors.
ASTR 415 Computational
Astrophysics (3 credits)
Prerequisite: ASTR121; {PHYS270 and
PHYS271 } or PHYS273; and permission
of department. Recommended: Computer
programming knowledge.
Introduction to the most important
computational techniques being used in
research in astrophysics. Topics include
modern high performance computer
architectures, scientific visualization and data
analysis, and detailed descriptions of
numerical algorithms for the solution to a wide
range of mathematical systems important in
astrophysics.
ASTR 421 Galaxies (3 credits)
Prerequisites: ASTR121; {PHYS270, and
PHYS27 1 } or PHYS273; or permission of
department.
Introduction to structure, kinematics, and
dynamics of normal and peculiar galaxies.
Quantitative descriptions of normal spiral
galaxies (like our Milky Way) and elliptical
galaxies will be followed by more exotic
considerations such as interacting and merging
galaxies, and active galactic nuclei.
ASTR 422 Cosmology (3
credits)
Prerequisites: ASTR121, {PHYS270 and
PHYS271 } or PHYS273; or permission of
department.
Introduction to modern cosmology. Topics
include large scale structure of universe, the
intergalactic medium, the nature of dark matter
cosmological models and galaxy formation.
ASTR 430 The Solar System (3
credits)
Prerequisites: ASTR121; {PHYS270 and
PHYS271 } or PHYS273; or permission of
department.
Formation and evolution of the Solar System.
Planetary surfaces, interiors, atmospheres, and
magnetospheres. Asteroids, comets, planetary
satellites, and ring systems. Emphasis on using
basic physics to understand observed
properties of the Solar System. Intended for
students majoring in the physical sciences.
313
ASTR 450 Orbital Dynamics (3
credits)
Prerequisites: ASTR121; {PHYS270 and
PHYS271 } or PHYS273; or permission of
department.
Vectorial mechanics, motion in a central force
field, gravitational and non-gravitational
forces, the two-body and three-body problems,
orbital elements and orbital perturbation
theory, resonances in the solar system, chaos.
Intended for students majoring in any of the
physical sciences.
ASTR 480 High Energy
Astrophysics (3 credits)
Prerequisite: ASTR121; {PHYS270 and
PHYS27 1 } or PHYS273; or permission of
department. Recommended: ASTR320.
The structure, formation, and astrophysics of
compact objects, such as white dwarfs,
neutron stars, and black holes, are examined.
Phenomena such as supernovae and high-
energy particles are also covered.
ASTR 498 Special Problems in
Astronomy (1-6 credits)
Prerequisite: major in physics or astronomy
or permission of department.
Research or special study. Credit according to
work done.
ASTR 601 Radiative Processes
(3 credits)
Prerequisite: permission of department.
Emission, absorption, and scattering of
radiation by matter, with astrophysical
applications. Thermodynamics and statistical
mechanics: LTE, Boltzmann, and Saha
equations; radiative transfer; atomic and
molecular radiation; plasma radiation and
transfer: bremsstrahlung, synchrotron
emission, Compton scattering.
ASTR 606 Stellar Structure and
Evolution (3 credits)
Prerequisite: ASTR 601 or permission of
department.
Models of stellar atmospheres, methods of
determinins properties of stars, physical
principles governing stellar interior processes,
observational data for determining stellar
evolution, nuclear processes, stellar modeling.
ASTR 610 Astronomical
Instrumentation and Techniques
(3 credits)
Prerequisite: permission of department.
Review of Maxwell's equations; designs of
telescopes, spectrographs, modern detectors;
basic concepts for radio detectors and
telescopes; interfere me try and data processing.
ASTR 615 Computational
Astrophysics (3 credits)
Permission from department only.
Formerly ASTR688C.
Introduction to computational techniques used
in astrophysical research. Topics include
modern high performance computer
architectures, scientific visualization and data
analysis, and detailed descriptions of
numerical algorithms for the solution to a wide
range of mathematical systems important in
astrophysics.
ASTR 620 Galaxies (3 credits)
Prerequisite: permission of department.
Galaxy classifications; Milky way: basic data,
distribution of stars, gas, dust and relativistic
particles, large-scale structure and rotation;
Spiral galaxies: stellar dynamics and stability,
density waves, star bursts, galactic center;
Elliptical galaxies: stellar dynamics,
cannabalism; galaxy formation.
ASTR 622 Cosmology (3
credits)
Credit will be granted for only one of the
following: ASTR622 or ASTR688R.
Formerly ASTR688R.
Introduction to modern cosmology. Topics
include the large scale structure of the
universe, cosmological models, the Big Bang,
the cosmic microwave background, the nature
of dark matter, and galaxy formation.
ASTR 630 Planetary Science (3
credits)
Credit will be granted for only one of the
following: ASTR630 or ASTR688P.
Formerly ASTR688P.
The science of our planetary system with an
emphasis on the aspects of it, that help us
understand the origin of the system and thus
the relevance to other planetary systems.
Topics will include planetary atmospheres,
surfaces, and interiors and the small bodies of
the solar system (asteroids, comets, Kuiper-
belt objects). We will consider the dynamics
of these bodies and the physics and chemistry
of these bodies.
ASTR 670 Interstellar Medium
and Gas Dynamics (3 credits)
Prerequisite: ASTR 601 or permission of
department.
Content of phases of the interstellar medium:
physical processes in the ISM: ionization
equilibrium, heating and cooling, interstellar
dust; gas dynamics: fluid motions,
instabilities, shock waves;
magnetohydrodynamics.
ASTR 680 High Energy
Astrophysics (3 credits)
Prerequisite: permission of department.
Formerly ASTR688M.
The structure, formation, and astrophysics of
compact objects, such as white dwarfs,
neutron stars, and black holes, are examined.
ASTR 688 Special Topics in
Modern Astronomy (1-3 credits)
Prerequisite: permission of instructor.
Special topics such as extragalactic radio
sources, plasma astrophysics, the H.R.
diagram, chemistry of the interstellar medium,
radiophysics of the sun.
ASTR 690 Reasearch Project I (3
credits)
ASTR 695 Introduction to
Research (1 credits)
Provides an introduction to research programs
in the Department of Astronomy and a forum
to explore possible research projects. Aimed at
incoming graduate students.
ASTR 699 Special Problems in
Advanced Astronomy (1-6
credits)
ASTR 788 Selected Topics in
Modern Astronomy (1-3 credits)
ASTR 799 Master's Thesis
Research (1-6 credits)
ASTR 898 Pre-Candidacy
Research (1-8 credits)
ASTR 899 Doctoral Dissertation
Research (1-8 credits)
Biochemistry
(BCHM)
BCHM 461 Biochemistry I (3
credits)
Prerequisite: {CHEM271 andCHEM272}
or {CHEM276 and CHEM277} or
{CHEM113 and CHEM241 and
CHEM242 } . A grade of C (2.0) or better is
required in all prerequisites. Not open to
students who have completed BCHM261 or
BCHM463. Credit will be granted for only
one of the following: BCHM261,
BCHM461, orBCHM463.
First semester of a comprehensive introduction
to modern biochemistry. Structure, chemical
properties, and function of proteins and
enzymes, carbohydrates, lipids, and nucleic
acids. Basic enzyme kinetics and catalytic
mechanisms.
BCHM 462 Biochemistry II (3
credits)
Prerequisite: BCHM461. A grade of C or
better in the prerequisite is required for Life
Science majors and recommended for all
students. Not open to students who have
completed BCHM463. Credit will be
granted for only one of the following:
BCHM462 or BCHM463.
A continuation of BCHM 46 1 . Metabolic
pathways and metabolic regulation, energy
transduction in biological systems, enzyme
catalytic mechanisms.
BCHM 463 Biochemistry of
Physiology (3 credits)
Prerequisite: {CHEM271 andCHEM272}
or {CHEM276 and CHEM277} or
{CHEM113 and CHEM241 and
CHEM242}. A grade of C (2.0) or better is
required in all prerequisites. Not open to
students who have completed BCHM461 or
BCHM462. Credit will be granted for only
one of the following: BCHM463 or
{BCHM461 orBCHM462}.
A one-semester inhoduction to general
biochemistry. A study of protein structure,
enzyme catalysis, metabolism, and metabolic
regulation with respect to their relationship to
physiology.
BCHM 464 Biochemistry
Laboratory (3 credits)
One hour of lecture and five hours of
laboratory per week. Prerequisite:
BCHM461 or BCHM463. A grade of C or
better in the prerequisite is required for Life
Science majors and recommended for all
students. Corequisite: BCHM465. BCHM,
CHEM and Nutritional Sciences majors
have first priority, followed by other life
science majors.
Biochemical and genetic methods for studying
protein function. Site-directed mutagenesis
and molecular cloning, protein purification,
enzyme activity assays, computer modeling of
protein structure.
BCHM 465 Biochemistry III (3
credits)
Prerequisite: BCHM461 orBCHM463. A
grade of C or better in the prerequisite is
required for Life Science majors and
recommended for all students.
Recommended: BCHM462.
CORE Capstone (CS) Course. An advanced
course in biochemistry. Biochemical approach
314
to cellular information processing. DNA and
RNA structure. DNA replication, transcription,
and repair. Translation of mRNA to make
proteins.
BCHM 485 Physical
Biochemistry (3 credits)
Prerequisite: A grade of C or better is
required in CHEM481 . For BCHM majors
only. Credit will be granted for only one of
the following: CHEM482 or BCHM485.
The application of physical chemistry to
biological systems. Principal topics: statistical
mechanics, transport processes in liquid phase,
chemical and biochemical kinetics, modeling
and simulation, polymer dynamics.
BCHM 661 Nucleic Acids I (2
credits)
Prerequisite: BSCI410 and BCHM465.
Topics covered: Chemistry and structure of
DNA and RNA, from nucleotides to
chromatin, chromosomes, and genomes, and
some methods for studying, synthesizing,
sequencing and manipulating nucleic acids.
Rudimentary genomics and bioinformatics.
DNA Biology: selected aspects of the
biochemistry and regulation of DNA
replication, repair, and recombination, and
how these processes interact with each other.
BCHM 662 Nucleic Acids II (2
credits)
Prerequisite: BCHM661.
Topics covered: Interactions between nucleic
acids and ligands such as cations, drugs, and
especially proteins. Sources of binding affinity
and specificity. Selection-amplification
methods. Description of several classes of
protein-nucleic acids complexes. DNA/RNA
catalysis, the origin of life, mobile genetic
elements.
BCHM 668 Special Problems in
Biochemistry (2-4 credits)
Prerequisite: BCHM 464 or equivalent.
BCHM 669 Special Topics in
Biochemistry (1-3 credits)
Prerequisite: BCHM 462 or equivalent.
BCHM 671 Protein Chemistry
and Enzymic Catalysis (3
credits)
Prerequisite: BCHM 416 or equivalent.
Principles of protein structure, folding, and
function, experimental characterization of
structure, active sites, enzyme mechanisms
and kinetics.
BCHM 673 Regulation of
Metabolism (3 credits)
Prerequisite: BCHM 462 or BCHM 463 or
equivalent.
Intracellular milieu, compart mentation,
metabolic and enzymic approaches to
identifying control points, regulation by
co vale nt modification of enzymes, metabolic
disorders.
BCHM 674 Nucleic Acids (3
credits)
Prerequisite: BSCI410 or equivalent.
Chemistry of nucleotides and polynucleotides,
sequencing and organization of genomes,
experimental methods. DNA replication,
repair, and recombination. RNA synthesis and
processing, regulation of gene expression.
BCHM 675 Biophysical
Chemistry (3 credits)
Prerequisites: BCHM 461 and CHEM 481
or equivalent.
Conformation, shape, structure,
conformational changes, dynamics and
interactions of biological macromolecules and
complexes or arrays of macromolecules.
Physical techniques for studying properties of
biological macromolecules.
BCHM 676 Biological Mass
Spectrometry (3 credits)
Three hours of lecture per week.
Prerequisite: BCHM461 orBCHM463.
Formerly BCHM669B.
Fundamentals of modern mass spectrometry
and use with biochemical techniques to
provide unique analyses of drug metabolites,
lipids, carbohydrates, nucleotides and proteins.
The interface with bioinformatics will be
examined, which provides the foundation of
proteomics.
BCHM 677 Computational Tools
in Biochemistry (1 credits)
For students in the Biochemistry Graduate
Program. Other graduate students may
register with permission of the instructor.
This course is intended for first year
BCHM graduate students.. Prerequisite:
BCHM671 or BCHM674; or permission of
instructor.
A practical, hands-on introduction to the
application of computational tools that support
biochemistry research. Selected topics may
include: efficient use of scientific literature
databases and the preparation of professional
bibliographies; proteomics and mass
spectrometry; bioinformatics and genomics
programs an database resources; molecular
structure visualization and modeling;
quatitative data fitting and error analysis; and
laboratory research ethics.
BCHM 698 Literature Seminar in
Biochemistry (1 credits)
Students will prepare and present a
departmental seminar based on a topic in the
current biochemical research literature.
BCHM 699 Special Problems in
Biochemistry (1-6 credits)
Prerequisite: one semester of graduate
study in biochemistry. Repeatable to 6
credits if content differs.
Laboratory experience in a research
environment. Restricted to students in the non-
thesis M.S. option.
BCHM 799 Master's Thesis
Research (1-6 credits)
BCHM 889 Seminar (1-3 credits)
BCHM 898 Pre-Candidacy
Research (1-8 credits)
BCHM 899 Doctoral Dissertation
Research (1-8 credits)
Behavior, Ecology,
Evolution and
Systematics (BEES)
BEES 608 Seminar in Behavior,
Ecology, Evolution and
Systematics (1-4 credits)
Repeatable to 15 credits if content differs.
One seminar per week for each subject
selected: Behavior; Ecology; Evolution;
Systematics; Behavior, Ecology, Evoultion
and Systematics.
BEES 609 Special Topics in
Behavior, Ecology, Evolution
and Systematics (1-6 credits)
Repeatable to 12 credits if content differs.
Lectures, experimental courses and other
special instructions in topics appropriate for
Behavior, Ecology, Evolution and Systematics
(BEES) students.
BEES 708 Advanced Topics in
Behavior, Ecology, Evolution,
and Systematics (1-4 credits)
Repeatable to 12 credits if content differs.
Lectures, experimental courses, and other
special instruction in various behavioral,
ecology, evolution and systematics subjects.
BEES 799 Master's Thesis
Research (1-6 credits)
BEES 898 Pre-Candidacy
Research (1-8 credits)
BEES 899 Doctoral Dissertation
Research (1-8 credits)
Bioengineering
(BIOE)
BIOE 404 Biomechanics (3
credits)
Prerequisite: BIOE120, BIOE121,
BIOE241, ENES102, ENES220,
MATH246; and permission of department.
For BIOE majors only.
Introduction to the fundamentals of
biomechanics including force analysis,
mechanics of deformable bodies, stress and
strain, multiaxial deformations, stress analysis,
and viscoelasticity. Biomechanics of soft and
hard tissues.
BIOE 411 Tissue Engineering (3
credits)
Prerequisite: at least one biology course
and MATH241. Recommended: BSCI330
andBIOE340.
A review of the fundamental principles
involved in the design of engineered tissues
and organs. Both biological and engineering
fundamentals will be considered.
BIOE 415 Bioengineering of
Exercise Response (3 credits)
Prerequisite: MATH246 or permission of
department. Credit will be granted for only
one of the following: BIOE415 or
ENBE415. Formerly ENBE415.
Exercise physiology in quantitative terms.
Modeling and prediction of cardiovascular,
respiratory, thermoregulatory, biomechanical,
and metabolic aspects of human exercise
responses.
BIOE 420 Bioimaging (3 credits)
Prerequisite: BIOE120, BIOE121,
BIOE241, MATH246; and permission of
department. For BIOE majors only.
Examines the physical principles behind major
biomedical imaging modalities and new ways
of using images for bio-related applications.
BIOE 422 Biosystems
Engineering (3 credits)
Prerequisite: BIOE120 and BIOE121; or
BSCI105; and one of the following
courses: BI0331, BIOE332, ENCE305, or
ENME331.
Conservation of mass in the context of
biological systems at different scales (i.e.,
cellular, organ, and ecosystem), life cycles
such as carbon cycle, nitrogen cycle,
photosynthesis, water cycle, Kreb cycle, and
315
aerobic and anaerobic cycles as they relate to
biosystem function and health.
BIOE 425 Mechanical Properties
of Biological Tissues (3 credits)
Prerequisite: ENES220 and MATH241.
An exploration of mechanical properties of
living biological tissues; including hard and
soft tissues. Coverage will include all the
traditional mechanical properties applied to
biological tissues, including: stress-strain
behavior, elastic, viscoelastic,
thermomechanical, fracture, fatigue, etc.
Additionally, alteration of mechanical
properties of living tissues due to disease,
development, growth, and remodeling will be
covered.
BIOE 432 Fundamentals of
Biophotonics Imaging and
Microscopy (3 credits)
Prerequisite: PHYS270, BIOE420 and
permission of department. Credit will be
granted for only one of the following:
BIOE432, BIOE632, or BIOE689C.
Principles and instrumentation of various
biomedical optical techniques, including
fluorescene and Raman spectroscopy, confocal
and multi-photon microscopy, optical
coherence tomography, and diffuse optical
tomography. Biomedical aplications will also
be discussed.
BIOE 450 Fundamentals of
Quantitative Cell Physiology (3
credits)
One hour of lecture and two hours of
discussion/recitation per week.
Prerequisite: permission of department.
Recommended: MATH141, MATH241,
MATH246 or equivalent.
Introduction to neuronal and muscle
electrophysiology. Topics include theoretical
modeling of electrical properties of the cell,
experimental approaches to cell
electrophysiology and details on the biological
basis for cell electrical function.
BIOE 453 Biomaterials (3
credits)
Prerequisite: BIOE120, BIOE121,
BIOE241, CHEM231/CHEM232,
MATH246; and permission of department.
Corequisite: BIOE454. Also offered as
ENMA425. Credit will be granted for only
one of the following: ENBE453, BIOE453
or ENMA425. Formerly ENBE453.
Examination of the structure and function of
natural biomaterials, and cell-extracellular
matrix interactions. Study physical properties
of synthetic biomaterials for biomedical
applications. Understanding molecular level
interactions between biomolecules and
biomaterials to design novel biomaterials with
desirable characteristics. Application of
biomaterials as implants, drug delivery
systems, biosensors, engineered materials such
as artificial skin and bone growth scaffolds
will be covered.
BIOE 454 Biomaterials
Laboratory (1 credits)
Prerequisite: BIOE120, BIOE121,
BIOE241,MATH246,
CHEM231/CHEM232; and permission of
department. Corequisite: BIOE453.
Recommended: ENES220.
Hands-on experience with measurements of
bulk and surface properties of biological
materials, synthesis of hydrogel, surface
patterning using soft lithography technique,
and preparation of 3D agarose matrix of cell
culture. The topics cover measurements of
tensile strength, hardness, and impact strength
of the biomaterials, swelling and transport
behavior of hydrogel, patterning silicon
substrate using self-assembled monolayer, and
cell-biomaterials interactions in scaffold
biomaterials.
BIOE 455 Basic Electronic
Design (3 credits)
Prerequisite: BIOE120, BIOE121,
BIOE241, MATH246; and permission of
department. Credit will be granted for only
one of the following: BIOE455 or
ENBE455. Formerly ENBE455.
Familiarization with basic electronic circuits
and the ability to produce simple electronic
designs.
BIOE 456 Bioinstrumentation (3
credits)
Prerequisite: BIOE455 and permission of
department. Credit will be granted for only
one of the following: BIOE456 or
ENBE456. Formerly ENBE456.
Study of biomedical instrumentation and
biomedical equipment technology. How
biomedical equipment is used to measure
information from the human body. Hands-on
experience with representative biomedical
equipment.
BIOE 460 Biotechnology and
Bioproduction (3 credits)
Restricted to Juniors and Seniors only. Also
offered as ENES489Q. Credit will be
granted for only one of the following:
BIOE460, BIOE468B, orENES489Q.
Formerly BIOE468B.
Basics of recombinant DNA technology and
biopharmaceutical manufacturing.
BIOE 468 Selected Topics in
Bioengineering (3 credits)
Prerequisite: B1OE120. BIOE121, and
permission of department. Repeatable to 9
credits if content differs.
Selected topics in Bioengineering will be
covered and taught by a variety of department
faculty.
BIOE 471 Biological Systems
Control (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
BIOE455; and permission of department.
Credit will be granted for only one of the
following: BIOE471 orENBE471.
Formerly ENBE47 1 .
Principles of control systems designed by
biological engineers and analysis of control
mechanisms found in biological organisms.
Apparent control strategies used by biological
systems will be covered.
BIOE 482 Analysis of Bioenergy
Systems (3 credits)
Prerequisite: CHEM231 and ENME232; or
equivalent.
Combines topics from biofuels (some of which
are renewable/sustainable) and biofuel cells.
Emphasizes both engineering and biological
analysis while including a practical
perspective based on specific examples from
the current literature. Ethanol from corn and
sugar cane; gasoline from biomass; use of
cellulosic biomass; enzymatic and microbial
biofuel cells.
BIOE 484 Engineering in
Biology (3 credits)
Prerequisite: MATH221 orMATH141;
PHYS141 or PHYS161; CHEM103 or
higher; or permission of department.
Recommended: BIOE454. Credit will be
granted for only one of the following:
BIOE484 or ENBE484. Formerly
ENBE484.
Engineering with biological systems, with
emphasis on utilization, design, and modeling.
Broad topics include differences between
biological engineering and biological science;
basic sciences and how they relate to biology;
typical biological responses to environmental
stimuli; scaling, and utilization of living
things.
BIOE 485 Capstone Design I:
Entrepreneurship, Regulatory
Issues, and Ethics (3 credits)
Prerequisite: 21 required credit hours in
bioengineering and permission of
department. Senior standing. For BIOE
majors only. Credit will be granted for only
one of the following: BIOE485 or
ENBE485. Formerly ENBE485.
This is the first part of a two-semester senior
capstone design course which covers
principles involved in engineering design,
design approaches, economics of design,
ethics in engineering, and patent regulations. It
also helps students learn team work and write
design project proposals under the mentorship
of a faculty advisor.
BIOE 486 Capstone Design II (3
credits)
Prerequisite: BIOE485 taken in the
immediately preceding semester and
permission of department. Senior standing.
For BIOE majors only. Credit will be
granted for only one of the following:
BIOE486 or ENBE486. Formerly
ENBE486.
This is the second part of the senior capstone
design course. This part is independent
instruction where faculty mentoring each
project team works with students to order
supplies, fabricate their proposed design under
BIOE485, test the design, write the report and
present it to their fellow seniors and board of
faculty mentors. Students are taught to convert
the blue print of a design to actual device and
test it.
BIOE 489 Special Topics in
Bioengineering (3 credits)
Repeatable to 6 credits if content differs.
Exploring a variety of topics with
Bioengineering.
BIOE 601 Biomolecular and
Cellular Rate Processes (3
credits)
Also offered as ENCH859B. Credit will be
granted for only one of the following:
BIOE 601 orENCH859B.
Presentation of techniques for characterizing
and manipulating non-linear biochemical
reaction networks. Advanced topics to include
mathematical modeling of the dynamics of
biological systems; separation techniques for
heat sensitive biologically active materials;
and rate processes in cellular and biomolecular
systems. Methods are applied to current
biotechnological systems, some include:
recombinant bacteria; plant insect and
mammalian cells; and transformed cell lines.
316
BIOE 602 Cellular and Tissue
Biomechanics (3 credits)
Introduction to the fundamentals of
biomechanics including force analysis,
mechanics of deformable bodies, stress and
strain, multiaxial deformations, stress analysis,
and viscoelasticity. Biomechanics of soft and
hard tissues.
BIOE 603 Quantitative Cell
Physiology (3 credits)
Introduction to neuromuscular
electrophysiology. Topics include theoretical
modeling of electrical properties of the cell,
experimental approaches to cell
electrophysiology, and details on the
biological basis for cell electrical function.
BIOE 604 Cellular and
Physiological Transport
Phenomena (3 credits)
A study of transport processes, including
momentum, energy and mass transport,
relevant to biosystems at various scales from
physiological to cellular systems. Transport
leads to sets of partial differential equations
and the course revolves around approaches to
solving these equations to arrive at
fundamental understanding of the physics of
transport in biosystems.
BIOE 605 Laboratory Rotations I
(1 credits)
For BIOE majors only.
Provides the opportunity to experience
different laboratory environments. Students
gain exposure to graduate research, learn a
wide variety of laboratory and/or
computational techniques, become familiar
with Bioengineering program faculty, and
develop insight on personal research interests
and direction. Laboratory rotations are
required in Fall and Spring of the first year of
the Ph.D. Program. BIOE605: Laboratory
Rotations I will be held in the Fall semester.
BIOE606: Laboratory Rotations II will be held
in the Spring semester.
BIOE 606 Laboratory Rotations
II (1 credits)
Two hours of laboratory per week. For
BIOE majors only.
Provide students with the opportunity to
experience different laboratory environments.
Students gain exposure to graduate research,
learn awide variety of laboratory techniques,
become familiar with BIOE faculty, and
develop insight into personal research interests
and direction. Laboratory rotations are
required in the Fall and Spring of the first year
of the Ph.D. program. BIOE605: Laboratory
Rotations 1 will be held in the Fall semester;
BIOE606: Laboratory Rotations 2 will be held
in the Spring semester.
BIOE 608 Bioengineering
Seminar Series (1 credits)
For BIOE majors only. Repeatable to 6
credits if content differs.
A variety of topics related to Bioengineering
will be presented in weekly seminars.
BIOE 610 Instrumentation in
Biological Systems (3 credits)
Prerequisite: ENBE/BIOE455 or
equivalent. Credit will be granted for only
one of the following: BIOE610 or
ENBE601 . Formerly ENBE601 .
Analyze and design electronic and computer-
based instrumentation for sensing,
measurements and controls as applied to
biological systems.
BIOE 611 Advanced Tissue
Engineering (3 credits)
Prerequisite: At least one biology course
and MATH241. Recommended: BSCI330
and BIOE340. Credit will be granted for
only one of the following: BIOE61 1 or
BIOE689T. Formerly BIOE689T.
A review of the fundamental principles
involved in the design of engineered tissues
and organs. Both biological and engineering
fundamentals will be considered.
BIOE 631 Biosensor
Techniques, Instrumentation,
and Applications (3 credits)
Prerequisite: permission of department.
Also offered as CHPH718Z. Credit will be
granted for only one of the following:
BIOE631. BIOE689Z, orCHPH718Z.
Formerly BIOE689Z.
A thorough review of fundamental concepts of
biosensing systems, principles of common
detection methods, and modern applications of
biosensors. Primarily literature driven.
Students will obtain a detailed understanding
of cutting-edge biosensing techniques, the
instrumentation used, and the application
space. Students also will develop skills in
using current literature as a source of
knowledge.
BIOE 632 Biophotonic Imaging
and Microscopy (3 credits)
Prerequisite: PHYS270, BIOE420 and
permission of department. Credit will be
granted for only one of the following:
BIOE432, BIOE632, or BIOE689C.
Principles and instrumentation of various
biomedical optical techniques, including
fluorescene and Raman spectroscopy, confocal
and multi-photon microscopy, optical
coherence tomography, and diffuse optical
tomography. Biomedical aplications will also
be discussed.
BIOE 645 Advanced Engineering
Start Up Ventures (3 credits)
Covers principles and practices important to
engineering startup ventures, especially those
involving bioengineering and medical device
enterprises, and includes the preparation of
business plans and tools used to obtain
funding.
BIOE 650 Quantitative
Physiology of the Cell (3
credits)
Recommended: MATH141, MATH241,
MATH246 or their equivalents. Credit will
be granted for only one of the following:
BIOE689Q or BIOE650. Formerly
BIOE689Q.
Introduction to quatitative aspects of neuronal,
skeletal muscle, and cardiac physiological
systems, with an emphasis on cellular function
and plasticity. Complements BIOE603:
Electrophysiolgy of the Cell.
BIOE 689 Special Topics in
Bioengineering (1-3 credits)
For BIOE majors only. Repeatable to 06
credits if content differs.
Research Oriented Individual Instruction
course.
BIOE 799 Master's Thesis
Research (1-6 credits)
BIOE 898 Pre-Candidacy
Research (1-8 credits)
BIOE 899 Doctoral Dissertation
Research (1-8 credits)
Biology (BIOL)
BIOL 502 Life Science for Middle
School Teachers II (4 credits)
Three lectures and three hours of laboratory
per week.. Prerequisite: BIOL 501.
A second-level lecture/laboratory course that
provides a general introduction to the
classification, anatomy and physiology of
plants and animals, with a special emphasis on
humans.
BIOL 503 Life Science for Middle
School Teachers III (4 credits)
Three lectures and three hours of laboratory
per week.. Prerequisite: BIOL 502.
A third-level laboratory /fie Id course that
investigates the ecology and natural history of
the Chesapeake Bay and human's relationship
to it.
BIOL 600 Ethics in Scientific
Research (2 credits)
Prerequisite: Completion of at least one
year of graduate study. For LFSC majors
only. Credit will be granted for only one of
the following: BIOL600 or ZOOL 600.
Formerly ZOOL600.
Issues of sceintific integrity with emphasis on
investigators in the laboratory sciences,
including mentoring, scientific record keeping,
authorship and peer review, ownership of data,
use of animals and humans in research, and
conflict of interest.
BIOL 608 Biology Seminar (1-2
credits)
Repeatable to 08 credits if content differs.
Formerly ZOOL608.
BIOL 609 Special Problems in
Biology (1-6 credits)
Repeatable to 06 credits if content differs.
Formerly ZOOL609.
One seminal" per week for each subject
selected: A-Cell Biology; B-Developmental
Biology; C-Estuarine and Marine Biology; D-
Genetics; E-Parasitology; F-Physiology; G-
Systematics and Evolutionary Biology; I-
Behavior; J-General; K-Endocrinology; L-
Ecology.
BIOL 613 Recombinant DNA (3
credits)
Prerequisites: BSCI230 or BSCI330, and
BSCI222; or permission of instructor.
Credit will be granted for only one of the
following: BIOL613 orZOOL652.
Formerly ZOOL652.
An advanced course presenting the tools and
procedures of genetic engineering. Theory and
practical applications of recombinant DNA
techniques to understanding eukaryotic gene
structure and expression.
BIOL 615 Developmental
Genetics (3 credits)
Prerequisites: Courses in molecular
genetics and developmental or cell biology;
or permission of instructor. Credit will be
granted for only one of the following:
BIOL 615 or ZOOL 642. Formerly
ZOOL642.
Differential gene function and its regulation in
developing systems. Genes and the analysis of
developmental processes.
BIOL 620 Cell Biology (3
credits)
317
Prerequisites:
BSCI230/BSCI330/ZOOL211 or
BCHM461, BSCI222/BIOL222, and
CHEM233 or permission of instructor.
Offered with laboratory as BSCI 421.
Molecular basis of cell structure and function
in eukaryotes.
BIOL 622 Membrane Transport
Phenomena (3 credits)
Prerequisites: MATH220 and (BSCI230,
BSCI330 or ZOOL421) or permission of
instructor. Credit will be granted for only
one of the following: BIOL622 or
ZOOL622. Formerly ZOOL622.
The fundamental phenomena related to solute
movement in bulk solution and across
interfaces. Examination of natural and
artificial membrane transport systems, with
emphasis placed on their mechanism of action.
BIOL 625 Biological
Ultrastructure (3 credits)
Prerequisite: Cell Biology or Histology; or
permission of instructor. Credit will be
granted for only one of the following:
BIOL 625 or ZOOL 615. Formerly
ZOOL615.
The ultrastructure of cells and tissues, with
emphasis on interpretation and correlation of
ultrastructure and function.
BIOL 641 Comparative
Physiology (4 credits)
Three hours of lecture and three hours of
laboratory per week. Prerequisites: One
year of biology, one year of organic
chemistry, and one semester of physiology.
Credit will be granted for only one of the
following: BIOL 641 or ZOOL 621.
Formerly ZOOL621.
Cellular and biochemical processes used by
animals to interact with both the external and
cellular environment. Water balance,
intermediary metabolism, nitrogen
metabolism, anaerobic metabolism, thermal
regulation, nerve and muscle physiology in
cells from a broad variety of animal species
are considered.
BIOL 646 Hearing (3 credits)
Prerequisite: BSCI230 or BSCI330; or
permission of instructor. Credit will be
granted for only one of the following:
BIOL646 or ZOOL636. Formerly
ZOOL636.
Principles of hearing, covering the auditory
periphery, the physiology and anatomy of the
central auditory system and psychoacoustics.
BIOL 651 Physical Chemistry for
Biologists (3 credits)
Prerequisite: BIOL 230 or equivalent.
Mechanistic and quantitative aspects of
chemical and physical processes, including
diffusion, ligand-receptor hinging, DNA
melting, sedimentation, redox reactions,
kinetics, fluorescence, osmosis and
electrophoresis.
BIOL 660 Theoretical Population
and Community Ecology (3
credits)
Prerequisite: One year of college calculus
and BSCI 462 or equivalent. Credit will be
granted for only one of the following:
BIOL 660 or ZOOL 675. Formerly
ZOOL675.
Application of simple dynamic systems and
optimization models to understand the
dynamics of populations and ecological
communities; population growth, predator-
prey interactions, competition, food webs,
foraging theory, and evolution of life histories.
Instruction and use of the program
Mathematica.
BIOL 662 Concepts in Animal
Ecology (4 credits)
Three hours of lecture and two hours of
discussion/recitation per week.
Prerequisite: A course in ecology (BSCI
462 or equivalent). Credit will be granted
for only one of the following: BIOL 662 or
ZOOL 670. Formerly ZOOL670.
A graduate -level treatment of ecological
processes and their evolutionary implications.
Review of classical and contemporary
literature, with emphasis on current
developments in ecological theories, and their
testing in the laboratory and in the field.
BIOL 665 Behavioral Ecology (4
credits)
Two hours of lecture and six hours of
laboratory per week. Prerequisites: A
course in ecology and a course in behavior;
or permission of instructor. Credit will be
granted for only one of the following:
BIOL 665 or ZOOL 676. Formerly
ZOOL676.
Use of evolutionary theory to study life history
and social behavior in animals and humans.
BIOL 667 Mathematical Biology
(4 credits)
Three hours of lecture and three hours of
laboratory per week. Credit will be granted
for only one of the following: BIOL 667 or
ZOOL 625. Formerly ZOOL625.
Mathematical methods of analyzing
deterministic and stochastic biological
processes from a variety of areas (including
population and evolutionary biology,
neurobiology, physiology, and
morphogenesis). Qualitative aspects of
dynamical systems which are usually given as
difference or differential equations. The
computer program Mathematica will be used
to obtain the numerical solutions of these
equations.
BIOL 670 Concepts in Evolution
(3 credits)
Prerequisite: BSCI 470 or permission of
instructor. Credit will be granted for only
one of the following: BIOL 670 or ZOOL
671. Formerly ZOOL671.
A review of current theory and experimental
analysis in evolutionary biology.
BIOL 671 Molecular Evolution (3
credits)
Credit will be granted for only one of the
following: BIOL 671 or ZOOL 645.
Formerly ZOOL645.
Basic foundations through advanced concepts
in molecular evolution, including patterns and
processes of DNA sequence variation,
transposable element dynamics, gene
duplication and loss, and genome organization.
Relevant concepts from genetics,
biochemistry, and phylogenetics also will be
covered.
BIOL 701 Teaching Biology (1
credits)
For LFSC graduate students only. Credit
will be granted for only one of the
following: BIOL 701 or ZOOL 701.
Formerly ZOOL701.
Introduction to instructional methods and
strategies, University and College policies, and
campus resources for new LFSC graduate
teaching assistants.
BIOL 708 Advanced Topics in
Biology (1-4 credits)
Repeatable to 08 credits if content differs.
Credit will be granted for only one of the
following: BIOL 708 or ZOOL 708.
Formerly ZOOL708.
Lectures, experimental courses and other
special instructions in various zoological
subjects.
BIOL 710 Plant Ecological
Genetics (3 credits)
Prerequisites: BSCI 222 and BSCI 472; or
BSCI 470; or permission of instructor.
Credit will be granted for only one of the
following: BIOL 710 or PBIO 745.
Formerly PB10745.
Plant ecological genetics is focused on the
processes responsible for evolution in plant
populations. Covers the basic principle of
population genetics, then quickly shifts
towards understanding how allele frequencies
can change in an ecological context. Emphasis
is placed on the role of drift and selection in
evolution, and particular attention is placed on
plant mating system evolution.
BIOL 744 Neurophysiology (3
credits)
Prerequisites: BIOL 230/ZOOL 211,
CHEM 233, and PHYS 122.
The physiology of nerves, muscles, an sensory
receptors, and aspects of central nervous
system physiology.
BIOL 760 Plant Population
Biology (3 credits)
Prerequisite: BSCI 472 or permission of
instructor. Credit will be granted for only
one of the following: BIOL 760 or PBIO
740. Formerly PBIO740.
An examination of current theoretical and
empirical research covering topics such as
demography, reproductive strategy, clonality,
seed banks, interspecific competition and plant-
herbivore interactions.
BIOL 762 Physiological Plant
Ecology (2 credits)
Prerequisite: BSCI 460 or equivalent.
Credit will be granted for only one of the
following: BIOL 762 or PBIO 755.
Formerly PBI0755.
Environmental effects on plant ecophysiology.
Microclimatology, leaf energy balance, plant
responses to temperature and radiation,
physiological adaptations, water relations and
plant gas exchange.
BIOL 765 Sociobiology (4
credits)
Two hours of lecture and six hours of
laboratory per week. Prerequisite: A course
in behavior and permission of instructor.
Credit will be granted for only one of the
following: BIOL 765 or ZOOL 665.
Formerly ZOOL665.
Deals with the description and analysis of
animal social organizations the adaptive nature
of animal societies, the effects of early
experience, and the role of communication in
the integration of animal groups.
BIOL 767 Behavioral
Endocrinology (3 credits)
Prerequisite: BSCI 342 or BSCI 447. Credit
318
will be granted for only one of the
following: BIOL 767 or ZOOL 627.
Formerly ZOOL627.
The interactive effects of hormones and
behavior. Emphasis on the reproductive and
stress hormones as they affect the brain and
behavior.
BIOL 799 Master's Thesis
Research (1-6 credits)
Formerly ZOOL799.
BIOL 898 Pre-Candidacy
Research (1-8 credits)
BIOL 899 Doctoral Dissertation
Research (1-8 credits)
Formerly ZOOL899.
Biometrics (BIOM)
BIOM 405 Computer
Applications in Biometrics (1
credits)
Two hours of laboratory per week.
Prerequisite: BIOM402 or equivalent.
An introduction to computer applications for
data analysis. This is equivalent to the
computer lab of 601 and is required for
students that have taken BIOM 301 and
BIOM402 and wish to go directly into
BIOM602.
BIOM 601 Biostatistics I (4
credits)
Three hours of lecture and two hours of
laboratory per week. Prerequisite: BIOM
301, STAT 464 or equivalent. Not open to
students who have completed BIOM 402.
Credit will be granted for only one of the
following: BIOM 401 or BIOM 601.
Estimation and hypothesis testing, t tests, one
and two way analysis of variance, regression,
analysis of frequency data. Lecture will
emphasize uses and limitations of these
methods in biology, while the laboratory will
emphasize the use of statistical analysis
software for the analysis of biological data.
BIOM 602 Biostatistics II (4
credits)
Three hours of lecture and two hours of
laboratory per week. Prerequisites: BIOM
601 or (BIOM 402 and BIOM 405). Also
offered as AGRO 804.
The principles of experimental design and
analysis of variance and co variance.
BIOM 603 Biostatistics III (4
credits)
Three hours of lecture and two hours of
laboratory per week. Prerequisite: Any
statistical class equivalent to graduate
level.
Applications and implementation of linear
model analysis to biological data, including
multivariate regression model, mixed model,
generalized linear mixed model, nonlinear
logistic and Poisson regression models, power
calculation and survival analysis.
BIOM 621 Applied Multivariate
Statistics (3 credits)
Prerequisite: BIOM 602. Recommended:
BIOM 603. Not open to students who have
completed BIOM 688B.
Brief review of matrix algebra, means,
covariance matrices, multivariate normal,
multivariate confidence ellipses, MANOVA,
Discriminant Methods, Principal Component
Analysis, Factor Analysis, Multidimensional
Scaling, Cluster Analyses, and other topics,
depending on student interest.
BIOM 688 Topics in Biometrics
(1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Advanced topics of current interest in various
areas of biometrics. Credit assigned will
depend on lecture and/or laboratory time
scheduled and organization of the course.
BIOM 698 Special Problems in
Biometrics (1-3 credits)
Prerequisite: permission of both department
and instructor. Repeatable to 6 credits if
content differs.
Individual study of a particular topic in
biostatistics or biomathematics.
BIOM 699 Seminar in Biometrics
(1 credits)
Biophysics (BIPH)
BIPH 698 Biophysics Seminar (1
credits)
BIPH 699 Research in
Biophysics (2 credits)
For BIPH majors only. Repeatable to 8
credits if content differs .
Supervised research in biophysics laboratories.
Rotations through several laboratories prior to
a choice of laboratory for a research
assistantship.
BIPH 799 Master's Thesis
Research (1-6 credits)
BIPH 898 Pre-Candidacy
Research (1-8 credits)
BIPH 899 Doctoral Dissertation
Research (1-8 credits)
Business and
Management (BMGT)
BMGT 402 Database Systems (3
credits)
Prerequisite: BMGT301 or equivalent.
Recommended: BMGT302.
Introduction to basic concepts of database
management systems. Relational databases,
query languages and design will be covered.
File-processing techniques are examined.
BMGT 403 Systems Analysis
and Design (3 credits)
Prerequisite: BMGT301 or equivalent.
Recommended: BMGT302.
Techniques and tools applicable to the analysis
and design of computer-based information
systems. System life cycle, requirements
analysis, logical design of databases and
performance evaluation. Emphasis on case
studies. Project required that involves the
design, analysis and implementation of an
information system.
BMGT 405 Business
Telecommunications (3 credits)
Prerequisite: BMGT301 or equivalent.
Concepts of business data communications
and data processing. Application of these ideas
in computer networks, including basic
principles of telecommunications technology,
computer network technology, data
management in distributed database systems
and management of the technical and
functional components of telecommunications
technology.
BMGT 406 Electronic Commerce
Application Development (3
credits)
Prerequisite: BMGT302 and BMGT402.
For BMGT majors only.
Develops understanding of the fundamental
principles of usability as they apply to
electronic commerce applications. Aspects of
website evaluation are examined. Course will
also cover the design of usable business
websites using current tools and techniques.
BMGT 407 Information Systems
Projects (3 credits)
Prerequisite: BMGT402 and BMGT403
For Information Systems-Business majors
only. Senior standing.
Senior capstone course for the decision and
information sciences major. Collected
knowledge from the DIS courses and
application to significant problems of size and
complexity. State -of-the-art research ideas and
current business and industrial practices in
information systems.
BMGT 408 Special Topics in
Decision and Information
Technologies (3 credits)
Prerequisite: permission of department
(prerequisite may vary based on changing
topics). Repeatable to 9 credits if content
differs.
Selected advanced topics in the various fields
of study in decision and information
technologies.
BMGT 41 Government
Accounting (3 credits)
Prerequisite: BMGT221. Credit will be
granted for only one of the following:
BMGT410 or BMGT428A.
An introduction to the theory and practice of
accounting and financial reporting as applied
in both federal and state/local governments,
with a focus on generally accepted accounting
principles applicable in each. Topics include
analyzing transactions; recognizing
transactions in the accounting cycles; and
preparing and analyzing financial statements
and the overall financial reports at both the
federal and state/local government levels.
BMGT 411 Ethics and
Professionalism in Accounting
(3 credits)
Prerequisite: BMGT3 1 1 . For accounting
majors only. 86 semester hours.
Analysis and discussion of issues relating to
ethics and professionalism in accounting.
BMGT 417 Taxation of
Corporations, Partnerships and
Estates (3 credits)
Prerequisite: BMGT221.
Federal taxation of corporations using the life-
cycle approach-formation, operation,
assessment, merger, reorganization and
liquidation. Overviews of pass-through entities
- partnerships and s -corporations -using the
life-cycle approach, and the tax consequences
of wealth transfers by individuals - gift and
estate taxation. Both tax planning and
compliance issues are addressed.
BMGT 422 Auditing Theory and
Practice (3 credits)
Prerequisite: BMGT221.
A study of the independent accountant's attest
function, generally accepted auditing
319
standards, compliance and substantive tests
and report forms and opinions.
BMGT 423 Fraud Examination (3
credits)
Prerequisite: BMGT310.
Covers fraud prevention, detection and
investigation techniques. The traditional
accounting areas of fraud -fraudulent financial
accounting and misappropriation of assets as
well as recent and historical cases of fraud will
also be examined. Current fraud topics will be
discussed.
BMGT 424 Advanced
Accounting (3 credits)
Prerequisite: BMGT3 1 1 .
Advanced accounting theory applied to
specialized topics and current problems.
Emphasis on consolidated statements and
partnership accounting.
BMGT 426 Advanced Managerial
Accounting (3 credits)
Prerequisite: BMGT321.
Advanced cost accounting with emphasis on
managerial aspects of internal record-keeping
and control systems.
BMGT 428 Special Topics in
Accounting (3 credits)
For Accounting majors only. Prerequisite:
BMGT310. Repeatable to 9 credits if
content differs.
Selected advanced topics in Accounting.
BMGT 430 Linear Statistical
Models in Business (3 credits)
Prerequisite: BMGT230 or BMGT231 or
permission of department.
Model building involving an intensive study of
the general linear stochastic model and the
applications of this model to business
problems. The model is derived in matrix form
and this form is used to analyze both the
regression and ANOVA formulations of the
general linear model.
BMGT 434 Introduction to
Optimization (3 credits)
Prerequisite: MATH220 or MATH140; or
equivalent. Recommended: MATH221 or
MATH 141. For BMGT majors only.
Introduces concepts and techniques of
operations research to model and solve
business decision problems, focusing on
optimization and commercially available
software tools. Models include linear
programming, the transportation and
assignment problems, network flow models,
and non-linear programming. Emphasis is
placed on analyzing business scenarios and
formulating associated decision models.
BMGT 435 Business Process
Simulation (3 credits)
Prerequisite: BMGT230 orBMGT231 or
equivalent. For BMGT majors only.
Develop and plan simulation studies, build
simulation models with special purpose
software, analyze and interpret the results.
Extensive use of applications and real-world
examples. The emphasis is on model
formulation and the interpretation of results,
rather than mathematical theory.
BMGT 438 Special Topics in
Operations Management (1-3
credits)
Repeatable to 6 credits if content differs.
Selected advanced topics in operations
management.
BMGT 440 Advanced Financial
Management (3 credits)
Prerequisite: BMGT340.
Analysis and discussion of cases and readings
relating to financial decisions of the firm. The
application of finance concepts to the solution
of financial problems is emphasized.
BMGT 443 Applied Equity
Analysis and Portfolio
Management (3 credits)
Prerequisite: BMGT343.
Study and application of the concepts,
methods, models, and empirical findings to the
analysis, valuation and selection of securities,
especially common stock.
BMGT 444 Futures and Options
Contracts (3 credits)
Prerequisite: BMGT343. Credit will be
granted for only one of the following:
BMGT444 and MATH424.
The institutional features and economic
rationale underlying markets in futures and
options. Hedging, speculation, structure of
futures prices, interest rate futures, efficiency
in futures markets and stock and commodity
options.
BMGT 445 Banking and
Financial Institutions (3 credits)
Prerequisites: BMGT340. Recommended:
ECON330.
Analysis and discussion of cases and readings
in commercial bank management. The loan
function is emphasized; also the management
of liquidity reserves, investments for income
and source of funds. Bank objectives,
functions, policies, organization, structure,
services and regulation are considered.
BMGT 446 International Finance
(3 credits)
Prerequisite: BMGT340.
Financial management from the perspective of
the multinational corporation. Topics covered
include the organization and functions of
foreign exchange and international capital
markets, international capital budgeting,
financing foreign trade and designing a global
financing strategy. Emphasis of the course is
on how to manage exchange and political risks
while maximizing benefits from global
opportunity sets faced by the firm.
BMGT 447 Internship and
Research in Finance (3 credits)
Prerequisites: BMGT340 and BMGT343
(or 400 level finance elective); and core
requirements in business and management;
and permission of department.
Recommended: finance major courses. For
finance majors only.
Supervised, sponsored internship in a
corporation or financial institution. Analysis of
approved research topic in corporate finance,
investments or financial institutions/markets.
BMGT 448 Special Topics in
Finance (1-3 credits)
Repeatable to 9 credits if content differs.
Selected advanced topics in finance.
BMGT 449 Investment Fund
Management: Lemma Senbet
Fund (3 credits)
Prerequisite: BMGT343 and permission of
department. Corequisite: BMGT443.
Repeatable to 6 credits if content differs.
Formerly BMGT498F.
The Lemma Senbet Fund is a year-long,
advanced finance course available to
undergraduate finance majors in their senior
year. Ten to twelve students will be selected in
the spring of their junior year to participate on
the fund, two as portfolio managers and eight
to ten as equity analysts. The course provides
students with the opportunity to apply what
they have learned in finance classes to actual
investment decisions, through researching real
companies and managing a portfolio of real
money.
BMGT 450 Integrated Marketing
Communications (3 credits)
Prerequisite: BMGT350. For BMGT
majors only. Credit will be granted for only
one of the following: BMGT354 or
BMGT450. Formerly BMGT354.
In-depth study of coordinated marketing
activities including advertising, sales
promotion, Internet marketing, direct
marketing and personal selling. Emphasizes
strategic planning to effectively use these
promotional tools to communicate with
customers and meet marketing goals. Blends
theory and current practice to provide
managerial orientation.
BMGT 451 Consumer Analysis
(3 credits)
Prerequisite: BMGT350. Recommended:
PSYC100;andPSYC221.
Identifying buyer behavior concepts relevant
to a specific marketing problem so that
appropriate marketing decisions can be made.
Conceptual frameworks are drawn from
psychology, sociology, economics, and other
social sciences to aid in understanding the
behavior of ultimate and industrial buyers.
BMGT 452 Marketing Research
Methods (3 credits)
Prerequisite: BMGT230 and BMGT350.
Focuses on aiding marketing decision-making
through exploratory, descriptive and casual
research. Develops student skills in designing
market research studies, including selection of
data collection method, development of data
collection instrument, sample design,
collection and statistical analysis of data and
reporting the results.
BMGT 454 Global Marketing (3
credits)
Prerequisite: BMGT350.
Marketing functions from the global
executive's viewpoint, including coverage of
global marketing policies relating to product
adaptation, data collection and analysis,
channels of distribution, pricing,
communications and cost analysis.
Consideration is given to the cultural, legal,
financial and organizational aspects of global
marketing.
BMGT 455 Sales Management (3
credits)
Prerequisite: BMGT350.
The roles of the sales executive as a planner,
manager of resources and marketing functions
and recruiter, trainer, motivator and leader of
field sales personnel. Techniques and
sequence of problem analysis for selling and
sales management decisions and to the
practical framework in which these decisions
take place. Teaching vehicles feature strong
classroom interactions, cases, journal articles,
research findings, guest sales managers,
debates, and modern company practices.
BMGT 457 Marketing Policies
and Strategies (3 credits)
320
Prerequisite: BMGT350.
This capstone course ties together various
marketing concepts using the fundamentals of
strategic market planning as the framework.
Application of these principles is
accomplished by analyzing and discussing
cases and by playing a marketing strategy
computer simulation game. Analysis of current
business articles to understand the link
between theory and real-world problem
solving.
BMGT 458 Special Topics in
Marketing (1-3 credits)
Repeatable to 6 credits if content differs.
Selected advanced topics in marketing.
BMGT 461 Entrepreneurship (3
credits)
Not open to students who have completed
BMGT261 or BMGT361. Credit will be
granted for only one of the following:
BMGT261, BMGT361, or BMGT461.
Process of creating new ventures, including
evaluating the entrepreneurial team, the
opportunity and the financing requirements.
Skills, concepts, mental attitudes and
knowledge relevant for starting a new
business.
BMGT 463 Cross-cultural
Challenges in Business (3
credits)
For BMGT majors only.
Examines in depth the nature of international
cultural value -differences and their behavioral-
related effects in the workplace. Topics
include decision- making and leadership styles
and reactions to various work assignments and
reward structures.
BMGT 464 Organizational
Change (3 credits)
Prerequisite: BMGT 364.
An examination of research and theory
concerning the forces which contribute to the
behavior of organizational members. Topics
covered include work group behavior,
supervisory behavior, intergroup relations,
employee goals and attitudes, communication
problems, organizational change and
organizational goals and design.
BMGT 465 Business Plan For
The New Venture (3 credits)
Prerequisite: BMGT361 orBMGT461.
Each student focuses on the production of a
business plan that will be accepted for an
annual business plan competition. Business
plans of sufficient quality may be submitted to
attract financing. Topics include a deep review
of business construction and its derivative
short forms.
BMGT 466 Global Business
Strategy (3 credits)
For BMGT majors only.
Focuses on the strategic challenges that
directly result from and are associated with the
globalization of industries and companies.
Topics include drivers of industry
globalization, difference between global and
multi-domestic industry, global expansion
strategies, sources of competitive advantage in
a global context, and coordination of a
company across a global network.
BMGT 468 Special Topics in
Management and Organization
(1-3 credits)
Repeatable to 6 credits if content differs.
Selected advanced topics in management and
organization.
BMGT 469 Management and
Organization Short-term Study
Abroad (3 credits)
Repeatable to 9 credits if content differs.
Selected short-term study abroad topics in
management and organization.
BMGT 470 Carrier Management
(3 credits)
Prerequisite: BMGT370.
The study of the wide range of issues facing
managers in transportation. This includes
decisions on market entry, pricing, competitive
responses, service levels, marketing strategies,
capital structure, and growth objectives.
Specific management decisions and overall
strategies pursued by management are
examined.
BMGT 471 Seminar in Supply
Chain Management: An
Executive Perspective (3
credits)
Prerequisite: BMGT372.
Formerly:BMGT488L and BMGT498L.
Designed to provide students intensive
interaction with senior supply chain executives
from a cross-section of industries. Executives
will share their insights about leading
competitive supply chains in the global
marketplace and assist students in
understanding how to develop supply chain
career strategies. Students will research the
competitive supply chain dynamics of each
executive's industry and review/analyze their
findings with the executive.
BMGT 472 Purchasing and
Inbound Logistics (3 credits)
Prerequisite: BMGT372.
Analysis of the resupply activities of logistics
management, including purchasing policies,
transportation planning, and inventory control.
Attention is directed toward total cost
minimization and the establishment of a
sustainable competitive advantage based on
procurement.
BMGT 475 Supply Chain
Strategy and Network Design (3
credits)
Prerequisite: BMGT372.
Analysis of the strategic aspects of supply
chain management. Emphasis on the creation
of end-user value through supply chain cost
reductions, service improvements or both.
Attention is directed toward the enabling role
of technology in support of strategy evaluation
and implementation.
BMGT 476 Technology
Applications in Supply Chain
Management (3 credits)
Prerequisite: BMGT372.
An understanding of the role of technology in
managing the supply chain. Provides students
with hands-on experience in advanced
software systems that build on top of
enterprise resource planning systems. Major
emphasis is placed on demonstrating that these
systems result in supply chain cost reductions
and service improvements.
BMGT 477 International Supply
Chain Management (3 credits)
The study of the importance of the supply
chain management within a global context.
Topics covered include: the structure, service,
pricing and competitive relationships among
international earners and transport
intermediaries as well as documentation,
location decisions, international
sourcing/distribution and management of
inventory throughout the international supply
chain.
BMGT 482 Business and
Government (3 credits)
Prerequisite: ECON200.
Focus is on the complex interrelationships
between business and government. Explores
areas in which business and government are
allies (cooperative research and financing
program) and adversaries (regulation).
Emphasizes a strategic management approach
by business to government involvement in
economic affairs.
BMGT 484 Electronic Marketing
(3 credits)
Prerequisite: BMGT350. For BMGT
majors only.
Examines the process of developing,
implementing and analyzing strategies for
successfully marketing a variety of existing
and potential products and services on the
Internet. Special attention devoted to the tools
and techniques unique to the electronic media.
BMGT 485 Project Management
(3 credits)
Prerequisite: BMGT230 or BMGT231; or
equivalent. 72 semester hours. For BMGT
majors only.
Modern project management techniques that
are used by modern practicing professionals
will be covered. Particular attention is given to
the management of technology based systems
and projects in a business enterprise. The
topics covered include: defining project scope,
alignment of projects with enterprise strategy,
managing project cost, time and risks using
tools such as CPM/PERT, and measuring
project performance.
BMGT 486 Total Quality
Management (3 credits)
Prerequisite: BMGT230 or equivalent.
Total Quality Management and the synergy
required between functions to obtain the
customer's quality demands. Statistical tools
which are mandatory in any successful quality
effort.
BMGT 487 Six Sigma Innovation
(3 credits)
Prerequisite: BMGT230, BMGT231,
STAT400orENME392.
Enhances the overall understanding of Six
Sigma Strategy, Tools and Methods to
positively influence the performance of a
business process, a product or service.
Highlights the application of Define -Measure-
Analyze -Improve -Control (DMAIC),Design
For Six Sigma (DFSS), and the pursuit of
Critical to Quality criteria (CTQ's) in a
collaborative perspective, one that recognizes
a balance between efficiency, and
effectiveness and between statistical analysis
and statistical thinking.
BMGT 488 Special Topics in
Logistics, Business, and Public
Policy (1-3 credits)
Repeatable to 6 credits if content differs.
Selected advanced topics in logistics, business
and public policy.
BMGT 490 Quest Consulting
and Innovation Practicum (4
credits)
321
Prerequisite: BMGT390 orENES390. Also
offered as ENES490. Credit will be granted
for only one of the following: BMGT490 or
ENES490.
Final course in the QUEST Honors Fellows
Program three-course curriculum. Based on a
team-based consulting project with one of
QUEST'S professional partners. A project
advisor and professional champion supervise
each student team. Requires extensive out-of-
class work.
BMGT 493 Honors Study (3
credits)
Prerequisite: permission of department.
First semester of the senior year. The course is
designed for honors students who have elected
to conduct intensive study (independent or
group). The student will work under the direct
guidance of a faculty advisor and the Assistant
Dean of Undergraduate Studies. They shall
determine that the area of study is of a scope
and intensity deserving of a candidate's
attention. Formal written and/or oral reports on
the study may be required by the faculty
advisor.
BMGT 494 Honors Study (3
credits)
Prerequisite: BMGT493, and continued
candidacy for honors in Business and
Management; and permission of
department.
Second semester of the senior year. The
student shall continue and complete the
research initiated in BMGT 493, additional
reports may be required at the discretion of the
faculty advisor and Assistant Dean of
Undergraduate Studies.
BMGT 495 Business Policies (3
credits)
Prerequisites: BMGT340; and BMGT350;
and BMGT364. For BMGT majors only.
A case study course where students apply what
they have learned of general management
principles and their specialized functional
applications to the overall management
function in the enterprise.
BMGT 496 Business Ethics and
Society (3 credits)
Prerequisite: one course in BMGT; or
permission of department.
A study of the standards of business conduct,
morals and values as well as the role of
business in society with consideration of the
sometimes conflicting interests of and claims
on the firm and its objectives. Emphasizes a
strategic approach by business to the
management of its external environment.
BMGT 498 Special Topics in
Business and Management (3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Special topics in business and management
designed to meet the changing needs and
interests of students and faculty.
BMGT 499 Advanced Business
Topics (1 credits)
For BMGT majors only. Repeatable to 3
credits if content differs .
Course will delve deeply into a specific
business topic. Based on experience and
knowledge from undergraduate core business
classes, students will examine a particular
subject from various angles.
BMGT 788 Mastery Topic for
EMBA (2 credits)
For students matriculated in EMBA only.
Repeatable to 08 credits if content differs.
Selected mastery topics which will cover
various aspects of executive education.
BMGT 789 Action Learning
Project (3-4 credits)
For students matriculated in EMBA only.
Repeatable to 10 credits if content differs.
Significant consulting project, team designed
by individual EMBA student participants and
faculty.
BMGT 808 Doctoral Seminar (3
credits)
Prerequisite: admission to the D.B.A.
Program or permission of department.
Repeatable if content differs.
Selected advanced topics in the various fields
of doctoral study in business and management.
BMGT 811 Seminar in Financial
Accounting (3 credits)
Prerequisite: BMGT 710 or equivalent.
Seminar in selected classic and current
theoretical and empirical research in financial
accounting.
BMGT 814 Current Problems of
Professional Practice (3 credits)
Generally accepted auditing standards,
auditing practices, legal and ethical
responsibilities, and the accounting and
reporting requirements of the securities and
exchange commission.
BMGT 815 Analytic Modeling in
Accounting (3 credits)
Prerequisites: BMGT 630 and ECON 603;
or equivalent.
Seminar in formal analytical modeling in
accounting research.
BMGT 821 Seminar in
Management Accounting (3
credits)
Prerequisite: BMGT 71 1 or equivalent.
Design and use of accounting information
systems for managerial planning and
controllers hip.
BMGT 828 Independent Study in
Business and Management (1-9
credits)
BMGT 830 Operations
Research: Linear Programming
(3 credits)
Prerequisites: MATH 240 or equivalent; or
permission of department.
Concepts and applications of linear
programming models, theoretical development
of the simplex algorithm, and primal-dual
problems and theory.
BMGT 831 Operations
Research: Extension of Linear
Programming and Network
Analysis (3 credits)
Prerequisite: BMGT 830 or equivalent; or
permission of department.
Concepts and applications of network and
graph theory in linear and combinatorial
models with emphasis on computational
algorithms.
BMGT 832 Operations
Research: Optimization and
Nonlinear Programming (3
credits)
Prerequisites: {BMGT 830; and MATH
241; or equivalent}; or permission of
department.
Theory and applications of algorithmic
approaches to solving unconstrained and
constrained non-linear optimization problems.
The Kuhn Tucker conditions, Lagrangian and
Duality Theory, types of convexity, and
convergence criteria. Feasible direction
procedures, penalty and barrier techniques,
and cutting plane procedures.
BMGT 833 Operations
Research: Integer Programming
(3 credits)
Prerequisites: {BMGT 830; and MATH
241 or equivalent}; or permission of
department.
Theory, applications, and computational
methods of integer optimization. Zero-one
implicit enumeration, branch and bound
methods, and cutting plane methods.
BMGT 834 Operations
Research: Probabilistic Models
(3 credits)
Prerequisites: {MATH 241; and STAT 400
or equivalent} or permission of
department.
Theoretical foundations for the construction,
optimization, and applications of probabilistic
models. Queuing theory, inventory theory,
Markov processes, renewal theory, and
stochastic linear programming.
BMGT 835 Simulation of
Discrete- Event Systems (3
credits)
Prerequisites: Knowledge of Fortran, Basic,
C, or Pascal; and BMGT 630 or
equivalent.
Simulation modeling and analysis of
stochastic discrete -event systems such as
manufacturing systems, inventory control
systems, and computer/ communications
networks.
BMGT 840 Seminar in Financial
Theory (3 credits)
Prerequisite: permission of department.
Seminar in selected classic and current
theoretical and empirical research in the
foundations of finance.
BMGT 841 Seminar in Corporate
Finance (3 credits)
Prerequisite: permission of department.
Seminar in selected classic and current
theoretical and empirical research in corporate
finance.
BMGT 843 Seminar in Portfolio
Theory (3 credits)
Prerequisite: permission of department.
Seminar in selected classic and current
theoretical and empirical research in portfolio
theory.
BMGT 845 Interaction of
Finance and Industrial
Organization (3 credits)
Prerequisite: BMGT840.. Recommended:
BMGT841 . Credit will be granted for only
one of the following: BMGT808C or
BMGT845. Formerly BMGT808C.
The primary topics of the course concern the
interaction between the firm's real decisions
and its financial decisions in different
equilibrium industrial organization settings.
This course will involve a fair amount of
microeconomics and industrial organization in
322
addition to finance. Both theoretical articles
and empirical articles will be covered and
discussed. We will emphasize the link between
theoretical and empirical research in both
industrial organization and corporate finance.
BMGT 860 Seminar in Human
Resource Planning and
Selection (3 credits)
Prerequisite: BMGT 760 or permission of
department.
Seminar in selected theoretical and empirical
literature in human resource planning,
forecasting, and staffing.
BMGT 861 Seminar in
Performance Appraisal and
Training (3 credits)
Prerequisite: BMGT 660 or permission of
department.
Seminar in selected theoretical and empirical
literature in performance appraisal and
training.
BMGT 863 Work Morale and
Motivation (3 credits)
Prerequisite: BMGT 660 or equivalent.
Seminar on major theories of work motivation
and job satisfaction.
BMGT 864 Seminar in
Leadership (3 credits)
Prerequisite: BMGT 660 or equivalent.
Review of theories and research on leadership,
especially executive leadership.
BMGT 865 Seminar in
Comparative Theories of
Organization (3 credits)
Prerequisite: BMGT 764 or equivalent; or
permission of department.
Emphasis on the interdisciplinary literature on
classical management, systems, and
contingency theories of organization.
BMGT 866 Seminar in Group
Processes, Organizational
Conflict and Change (3 credits)
Review of theories and research in
organizational development, group processes,
group conflict and resolutions.
BMGT 872 Business Logistics (3
credits)
Concentrates on the design and application of
methods for the solution of advanced physical
movement problems of business firms.
Provides thorough coverage of a variety of
analytical techniques relevant to the solution
of these problems. Where appropriate,
experience will be provided in the utilization
of computers to assist in managerial logistical
decision-making.
BMGT 880 Business Research
Methodology (3 credits)
Covers the nature, scope, and application of
research methodology. The identification and
formulation of research designs applicable to
business and related fields. Required of
D.B.A. students.
BMGT 881 Applied Regression
Models (3 credits)
An introduction to regression models used in
business research. Linear models,
nonparametric methods, spatio-temporal
models, methods for functional data, choice
models, and methods for hierarchical,
clustered and networked data. Hands-on
learning via discussion of scholarly business
papers, implementation using advanced
statistical software, and application to research
questions.
BMGT 882 Applied Multivariate
Analysis I (3 credits)
Prerequisite: ECON 621, ECON 624,
EDMS 651, STAT 450 or permission of
department.
Multivariate statistical methods and their use
in empirical research. Topics include
summarization and visualization of
multivariate data, principal components,
metric multidimensional scaling, canonical
correlation, multivariate paired comparisons
and repeated-measures designs, multivariate
analysis of variance, and discriminant analysis.
The maximum likelihood and likelihood ratio
principles are also discussed. An important
component of the course is analysis of
business data using contemporary software.
BMGT 883 Scientific Data-
Collection for Business (3
credits)
Data collection methods for academic research
with an emphasis on a Web environment.
Designing and executing surveys, collecting
web data, and designing and analyzing
experiments. Discussion of ethics, statistical
theory, practical considerations, and technical
issues.
BMGT 887 Bayesian Inference
and Decision Theory (3 credits)
Prerequisite: BMGT 733 or equivalent.
Bayesian Methodologies in statistical
inference and decision theory. Includes
discussion of subjective probability and
coherence, elicitation of distributions
conjugate distributions, estimation, testing,
preposterior analysis and regression analysis.
Applications are drawn from the functional
business areas.
BMGT 898 Pre-Candidacy
Research (1-8 credits)
BMGT 899 Doctoral Dissertation
Research (1-8 credits)
Biological Sciences
Program (BSCI)
BSCI 410 Molecular Genetics (3
credits)
Prerequisites: BSCI222 ( or equivalent )
and CHEM233 or (CHEM231 and
CHEM232). Formerly ZOOL446.
An advanced genetics course emphasizing the
molecular basis of gene structure and function
in the context of modern approaches to the
genetics of humans and model organisms.
BSCI 412 Microbial Genetics (4
credits)
Two hours of lecture and six hours of
laboratory per week. Prerequisites:
BSCI223 and BSCI222. Formerly
MICB485.
A laboratory /lecture based course that covers
the fundamentals of mutation, mobile genetic
elements and transmission genetics of
microbial organisms using both classical and
molecular approaches.
BSCI 413 Recombinant DNA (3
credits)
Prerequisites: BSCI223, BSCI230, or
BSCI330; and BSCI222. Formerly
ZOOL452.
An advanced course presenting the tools and
procedures of genetic engineering. Theory and
practical applications of recombinant DNA
techniques to understanding eukaryotic gene
structure and expression.
BSCI 414 Recombinant DNA
Laboratory (3 credits)
Prerequisite: BSCI222. Formerly
MICB453.
An advanced course offering hands-on
experience in performing recombinant DNA
experiments. All current molecular biology
techniques used for cloning prokaryotic genes,
analyzing the gene products, and modifying
the genes will be performed. Techniques
include isolation of DNA, use of restriction
enzymes; cloning procedures, PCR analysis,
and Southern hybridizations. Lecture material
focuses on interpretation of results generated
in the laboratory.
BSCI 415 Molecular Genetics
Laboratory (3 credits)
Six hours of laboratory per week. Pre- or
corequisite: BSCI410. Junior standing.
Credit will be granted for only one of the
following: BSCI348G or BSCI415.
Formerly BSCI348G.
Problem solving laboratory organized around
extended projects that employ different
approaches toward linking gene and function.
BSCI 416 Biology of the Human
Genome (3 credits)
Prerequisite: A grade of C (2.0) or better in
BSCI222. Recommended: BSCI230 or
BSCI330. Formerly ZOOL417.
Approaches to human genetics and
applications to biology and medicine: genetic
basis of human disease, the human genome
project, human genetic diversity and
evolutionary genetics.
BSCI 417 Microbial
Pathogenesis (3 credits)
Prerequisite: BSCI222 and BSCI223.
Junior standing. Credit will be granted for
only one of the following: BSCI348M or
BSCI417. Formerly BSCI348M.
Current research in microbial pathogenesis and
the molecular and cellular basis of bacterial
disease. Comprehensive overview of the
molecular basis of pathogenesis with a focus
on model microbial systems to illustrate
mechanisms of disease pathogenesis. Topics
covered: how microorganisms attach to and
enter cells; how host cells are damaged by
microbial products; how the host responds to
invasion; and host-pathogen evolution.
BSCI 420 Cell Biology Lectures
(3 credits)
Prerequisites: BSCI230 or BSCI330; and
BSC1222; and CHEM233. Credit will be
granted for only one of the following:
BSC1420 or BSCI421. Formerly
ZOOL410.
Molecular and biochemical bases of cellular
organization and function in eukaryotes.
BSCI 421 Cell Biology (4
credits)
Three hours of lecture and four hours of
laboratory per week. Prerequisites:
BSCI230 or BSCI330; and BSCI222; and
CHEM233. Formerly: PBIO400 and
ZOOL41 1 . Credit will be granted for only
one of the following: BSCI420 or
BSC1421.
Molecular and biochemical basis of cellular
organization and function in eukaryotes.
323
BSCI 422 Principles of
Immunology (3 credits)
Prerequisites: BSCI222 and BSCI223.
Recommended: BSCI230 or BSCI330.
Junior or Senior standing. Formerly
MICB454.
The immune system in health and disease.
Presentation and analysis of the cellular and
molecular processes that comprise the immune
system.
BSCI 423 Immunology
Laboratory (2 credits)
Six hours of laboratory per week.
Prerequisites: BSCI222 and BSCI223.
Corequisite: BSCI422. Junior or senior
standing. Formerly MICB455.
Current techniques for assessment of immune
status and evaluation of the immune response,
including monoclonal antibody production,
Western blotting, cytokine assays, ELISA and
flow cytometry.
BSCI 424 Pathogenic
Microbiology (4 credits)
Two hours of lecture and four hours of
laboratory per week. Prerequisite:
BSCI223. Formerly MICB440.
The role of bacteria and fungi in the diseases
of humans with emphasis upon the
differentiation and culture of microorganisms,
types of disease, modes of disease
transmission, prophylactic, therapeutic, and
epidemiological aspects.
BSCI 425 Epidemiology and
Public Health (3 credits)
Two hours of lecture and one hour of
discussion/recitation per week.
Prerequisite: BSCI223. Formerly
MICB420.
History, characteristic features of
epidemiology; the important responsibilities of
public health; vital statistics.
BSCI 426 Membrane Biophysics
(3 credits)
Prerequisites: BSCI230 or BSCI330; and
PHYS122 or PHYS142; and MATH130 or
MATH140. Formerly ZOOL413.
Quantitative aspects of biology and the use of
mathematical descriptions of biological
phenomena. The focus will be on membrane
structure, transport, and bioenergetics.
BSCI 427 Principles of
Microscopy (2 credits)
Prerequisite: BSCI421. Formerly
PBIO430.
An introduction to optical principles that
underlie light and electron microscopic image
formation. Brightfield, darkfield, phase
contrast, differential interference contrast,
fluorescence and polarized light microscopy.
Comparison of light and electron microscopy.
The application of these techniques to
problems in biological research.
BSCI 430 Developmental
Biology (3 credits)
Prerequisites: BSCI230 or BSCI330; and
BSCI222. Formerly ZOOL430.
Structural, functional and regulatory events
and mechanisms that operate during
development to produce an integrated,
multicellular organism composed of a
multitude of differentiated cell types.
BSCI 433 Biology of Cancer (3
credits)
Prerequisites: BSCI230 or BSCI330; and
BSCI222; or permission of department.
Formerly ZOOL4 16.
Causes and consequences of neoplastic
transformations at the biochemical and cellular
levels.
BSCI 434 Mammalian Histology
(4 credits)
Two hours of lecture and six hours of
laboratory per week. Prerequisites:
BSCI230 or BSCI330; and BSCI440; or
permission of department. Formerly
ZOOL495.
A study of the microscopic anatomy,
ultrastructure and histophysiology of tissues
and organs of mammals.
BSCI 436 Drug Action and
Design (3 credits)
Prerequisite: CHEM243 or permission of
department. Junior standing. Formerly
MICB443.
Introductory pharmacology with an emphasis
on "magic bullets", novel therapies, and drug
design.
BSCI 437 General Virology (3
credits)
Prerequisite: BSCI222 or permission of
department. Junior standing. Formerly
MICB460.
Discussion of the physical and chemical nature
of viruses, virus cultivation and assay
methods, virus replication, viral diseases with
emphasis on the oncogenic viruses, viral
genetics, and characteristics of the major virus
groups.
BSCI 440 Mammalian
Physiology (4 credits)
Three hours of lecture and two hours of
discussion/recitation per week.
Prerequisites: BSCI230 or BSCI330; and
(CHEM231/CHEM232 or CHEM233); or
permission of department. Formerly
ZOOL422.
A study of the cardiovascular, hemopoietic,
gastrointestinal, renal and respiratory systems.
Chemical and endocrine regulation of
physiological functions in mammals. Course
does not count as an upper level lab for BIOL
majors (see BSCI441).
BSCI 441 Mammalian
Physiology Laboratory (2
credits)
Four hours of laboratory per week.
Corequisite: BSCI440. Formerly
ZOOL423.
Laboratory exercises in experimental
mammalian physiology.
BSCI 442 Plant Physiology (4
credits)
Three hours of lecture and three hours of
laboratory per week. Prerequisites:
BSCI105 and CHEM233 or (CHEM231
and CHEM232). Formerly PBIO420.
A survey of the general physiological
activities of plants.
BSCI 443 Microbial Physiology
(3 credits)
Prerequisite: A grade of C (2.0) or better is
required in BSCI223 and {BCHM461 or
BCHM463}. Formerly MICB470.
Microbial cellular and population growth.
Fermentation metabolism, physiology of
anaerobiosis, and energy conservation and
transformation in bacterial membranes.
Efficiency of energy utilization for growth.
Membrane structure and transport. Bacterial
chemotaxis. Regulation of bacterial
chromosome replication, RNA and protein
synthesis. Control of metabolic pathways.
BSCI 444 Neurophysiology
Lectures (3 credits)
Prerequisites: BSCI230 or BSCI330; and
CHEM233; and PHYS122. Credit will be
granted for only one of the following:
BSCI444 or BSCI445. Formerly
ZOOL420.
The physiology of nerves, muscles, and
sensory receptors and aspects of central
nervous system physiology.
BSCI 445 Neurophysiology (4
credits)
Three hours of lecture and three hours of
laboratory per week. Prerequisites:
BSCI230 or BSCI330; and CHEM233; and
PHYS122. Credit will be granted for only
one of the following: BSCI444 or
BSCI445. Formerly ZOOL421.
The physiology of nerves, muscles and
sensory receptors and aspects of central
nervous system physiology.
BSCI 446 Neural Systems (3
credits)
Prerequisite: BSCI230 or BSCI330.
Formerly ZOOL402.
Neural development, followed by sensory,
motor and integrative system organization in
the central nervous system.
BSCI 447 General
Endocrinology (3 credits)
Prerequisites: BSCI230 or BSCI330; and
CHEM233; and CHEM243. Formerly
ZOOL426.
Functions and the functioning of the endocrine
glands of animals with special reference to the
vertebrates.
BSCI 451 Physical Chemistry for
Biologists (3 credits)
Prerequisite: BSCI230 or BSCI330.
Mechanistic and quantitative aspects of
chemical and physical processes, including
diffusion, ligand-receptor binding, DNA
melting, sedimentation, redox reactions,
kinetics, fluorescence, osmosis, and
electrophoresis.
BSCI 453 Cellular
Neurophysiology (3 credits)
Prerequisite: A grade of C (2.0) or better in
{BSCI230orBSCI330} and {CHEM231
and CHEM232) and PHYS122. Credit will
be granted for only one of the following:
BSCI444 or BSCI445 or BSCI453.
Formerly BSCI444.
The cellular and molecular basis of nervous
system function.
BSCI 454 Neurobiology
Laboratory (1 credits)
Prerequisite: BSCI230 or BSCI330; and
{CHEM231 andCHEM232) and
PHYS122. Pre- or corequisite: {BSCI453
or BSC1446). Credit will be granted for
only one of the following: BSC1445 or
BSCI454. Formerly BSCI445.
Grade of C (2.0) required in all course pre-
requisites. Basic neuroanatomical techniques,
intracellular and extracellular recordings of
electrical potentials from nerve and muscle.
BSCI 460 Plant Ecology (3
credits)
324
Prerequisite: BSCI106. Formerly
PBIO440.
The dynamics of populations as affected by
environmental factors with special emphasis
on the structure and composition of natural
plant communities, both terrestrial and aquatic.
BSCI 461 Plant Ecology
Laboratory (2 credits)
Three hours of laboratory per week. Pre- or
corequisite: BSCI460. Formerly PB10441.
Two or three field trips per semester. The
application of field and experimental methods
to the qualitative and quantitative study of
vegetation and ecosystems.
BSCI 462 Population Ecology (3
credits)
Prerequisites: BSCI106 and MATH130.
Formerly ZOOL470.
Theory of population growth and regulation,
life tables, and theory of competition and
predation, evolution in ecological settings,
community structure and dynamics.
BSCI 463 Laboratory and Field
Ecology (2 credits)
Pre- or corequisites: BSCI462 and a course
in statistics. Formerly ZOOL471.
Laboratory and field exercises involving
problems of contemporary ecological interest;
population density regulation, community
structure, and spatial pattern diversity in both
terrestrial and aquatic systems.
BSCI 464 Microbial Ecology (3
credits)
Prerequisites: BSCI223; and {CHEM241
and CHEM242 or CHEM243}. Formerly
MICB480.
Interaction of microorganisms with the
environment, other microorganisms and with
higher organisms. Roles of microorganisms in
the biosphere. Microorganisms and current
environmental problems.
BSCI 465 Behavioral Ecology (3
credits)
Prerequisites: BSCI106 and (BSCI222 or
BSCI224). Formerly ZOOL465.
How natural and social environments shape
individual behavior. The influence of
evolution on patterns of individual adaptation.
Use of the evolutionary paradigm to
investigate specific problems in animal and
human behavior.
BSCI 467 Freshwater Biology (4
credits)
Three hours of lecture and three hours of
laboratory per week. Prerequisite: BSCI227
or permission of department. Formerly
ENTM482.
Biology and ecology of freshwater
invertebrates in lotic and lentic habitats, their
adaptation to aquatic life, their function in
aquatic ecosystems, and their relationship to
environmental deterioration. Laboratory will
include field trips, demonstrations, and
identifications.
BSCI 471 Molecular Evolution (3
credits)
Prerequisite: BSC1222 or permission of
department. Formerly ZOOL441.
Patterns of DNA sequence variation within
and between species, caused by nucleotide
changes and the movement of transposable
elements. Theories of molecular evolution,
such as the neutral theory. Molecular clock
hypothesis: its importance as a practical
empirical tool in molecular genetics and
systematics and its theoretical foundation.
BSCI 472 Evolutionary Biology
of Plants (3 credits)
Prerequisites: BSCI106 and BSCI222.
Formerly PBI0445.
Evolution in plant populations. The pace,
pattern, and mechanisms of evolution will be
discussed within a genetic and ecological
framework. Some emphasis will be placed on
processes that are unique to the evolution of
plants.
BSCI 473 Marine Ecology (3
credits)
Prerequisite: BSC1207. Formerly
ZOOL473.
Courses in evolution and animal behavior are
strongly recommended. A detailed analysis of
the evolutionary ecology of marine
invertebrates; emphasis on testing of theories
and on current literature.
BSCI 474 Mathematical Biology
(4 credits)
Three hours of lecture and three hours of
laboratory per week. Prerequisites:
MATH220 and MATH221; or MATH 130
and MATH131. Formerly ZOOL425.
Mathematical methods for analyzing
deterministic and stochastic biological
processes from a variety of areas (including
population and evolutionary biology,
neurobiology, physiology and
morphogenesis). Qualitative aspects of
dynamical systems which are usually given as
difference or differential equations. The
computer program Mathematica will be used
to obtain the numerical solutions of these
equations.
BSCI 480 Arthropod Form and
Function (4 credits)
Three hours of lecture and three hours of
laboratory per week. Prerequisite: BSC1227
or permission of department. Formerly
ENTM423.
Survey of the morphological, systematic and
physiological diversity of the phylum
Arthropoda.
BSCI 481 Insect Diversity and
Classification (4 credits)
One hour of lecture and six hours of
laboratory per week. Prerequisite: BSCI227
or permission of department. Formerly
ENTM424.
The techniques of collecting insects in the
field and their classification into the latest
hierarchical scheme. Field trips will visit
habitats throughout the state. An insect
collection is required.
BSCI 483 Medical and Veterinary
Entomology (4 credits)
Three hours of lecture and two hours of
laboratory per week. Prerequisite: BSCI227
or permission of department. Formerly
ENTM472.
A study of the morphology, taxonomy,
biology and control of the arthropod parasites
and disease vectors of man and animals. The
ecology and behavior of vectors in relation to
disease transmission will be emphasized.
BSCI 485 Protozoology (4
credits)
Two hours of lecture and six hours of
laboratory. Prerequisite: one year of
biology. Formerly ZOOL472.
Basic conceptual treatment of free-living and
parasitic protozoan functional morphology,
life history, and systematics. The laboratory
will stress observations of protozoa, living and
stained, collected from diverse habits.
BSCI 488 Summer Biology
Institutes (1-8 credits)
Prerequisite: permission of department.
Formerly: BIOL488, BIOL489, and
BIOL490. Repeatable to 12 credits if
content differs.
BSCI 493 Medicinal and
Poisonous Plants (3 credits)
Two hours of lecture and two hours of
discussion/recitation per week.
Prerequisites: BSCI105 and CHEM233 or 4
credit hours of biological sciences.
Formerly PBI0485.
A study of plants important to humans that
have medicinal or poisonous properties.
Emphasis on plant source, plant description,
the active agent and its beneficial or
detrimental physiological action and effects.
BSCI 494 Animal-Plant
Interactions (3 credits)
Prerequisites: BSCI106 and (BSCI227, or
BSC1224, or permission of department).
Credit will be granted for only one of the
following: BSCI494 or ENTM400.
Formerly ENTM400.
Theoretical, conceptual and applied aspects of
the ecological interactions between plants and
animals.
BSCI 497 Insect Pests of
Ornamentals and Turf (4
credits)
Three hours of lecture and three hours of
laboratory per week. Prerequisite: BSCI227
or permission of instructor. Also offered as
ENTM497. Credit will be granted for only
one of the following: BSCI497, ENTM453,
or ENTM497. Formerly ENTM453.
The recognition, biology and management of
insects and mites injurious to ornamental
shrubs, trees, greenhouse crops, and turf.
Emphasis on Integrated Pest Management
(IPM).
Behavioral and
Social Sciences
(BSOS)
BSOS 698 LEAD Special Topics
(3 credits)
Restricted LEAD Fellows only.
Prerequisite: permission of department.
Individual reading and research reports on
selected problems in the study of leadership.
Terrorism Studies
(BSST)
BSST 630 Motivations and
Intents of Terrorists and
Terrorist Groups (3 credits)
Admission to Terrorism Analysis Graduate
Certificate Program.. Credit will be granted
for only one of the following: BSOS630 or
BSST630. Formerly BSOS630.
Examines motivations for terrorism from an
interdisciplinary perspective, with emphasis on
political and applied social psychological
perspective. Topics may include: defining
terrorism; preconditions; recruitment;
325
domestic and international terrorism; and case
studies and analysis of terrorist organizations.
BSST 631 Societal Impacts of
and Responses to Terrorism (3
credits)
Admission to Terrrorism Analysis
Graduate Certificate Program or special
permission. Credit will be granted for only
one of the following: BSOS631 or
BSST631. Formerly BSOS631.
Explores the manners in which a variety of
different actors respond to both terrorist
incidents and the threat of terrorism. Examines
local responses to terrorist incidents; local
impacts of terrorism including effects on
individual and group attitudes and behaviors;
policy decisions made in response to both
teiTorist attacks and the threat of terrorism;
terrorism prevention, deterrence, interdiction,
and mitigation efforts; and individual and
community recovery from terrorist attacks.
BSST 632 Development of
Counterterrorism Policies and
Programs (3 credits)
Admission to Terrorism Analysis Graduate
Certificate Program or special permission.
Credit will be granted for only one of the
following: BSOS632 orBSST632.
Formerly BSOS632.
Addresses the formulation, adoption,
effectiveness, impacts, and afterlives of
counterterrorism policies and programs.
BSST 633 Research Methods in
Terrorism and Counterterrorism
(3 credits)
Admission to Terrorism Analysis Graduate
Certificate Program or special permission.
Prerequisite: BSST630, BSST631, or
BSST632. Credit will be granted for only
one of the following: BSOS633 or
BSST633. Formerly BSOS633.
Provides students with the opportunity to
conduct original research, while exposing
them to analytical tools relevant to the study of
terrorism. Students will work with a range of
data sources on domestic and international
terrorism, and will be tasked with using data to
test hypotheses related to the causes,
behaviors, and/ or impacts of terrorism.
BSST 638 Special Topics in
Terrorism Studies (3 credits)
Repeatable to 9 credits if content differs.
A special topics course for students in the
Global Terrorism Minor program. Topics that
may be offered are Psychology of Terrorism;
Development of Counterterrorism Policies and
Programs; Terrorism and Popular Culture;
Terrorism and the Media; International
Perspective on Terrorism and
Counterterrorism (Education Abroad); The
Evolution of Hezbollah; Terrorism and Small
Wars; Political Islam in the West.
BSST 699 Independent Study in
Terrorism Studies (3 credits)
Repeatable to 9 credits if content differs.
An independent study course for students in
the Global Terrorism Minor program.
Accounting and
Information
Assurance (BUAC)
BUAC 701 Accounting Theory (3
credits)
Prerequisites: BMGT 310, BMGT 311, and
BMGT 424. Credit will be granted for only
one of the following: BMGT 706 or BUAC
701. Formerly BMGT706.
Examines GAAP, its weaknesses and
strengths, and the role that the FASB and SEC
play in its development. Includes the FASB
standard setting process and issues that relate
to this process: FASB conceptual framework;
lobbying activity; and impending FASB
standards. Other topics include: how
management incentives and firm type
influence accounting choice; recognize versus
disclose as a strategy; and current debates in
accounting. While the user of accounting
information is examined, this course focuses
more on management and how it reports and
discloses accounting information.
BUAC 705 Advanced Financial
Reporting (3 credits)
Prerequisites: BMGT 310, BMGT 311, and
BMGT 424. Credit will be granted for only
one of the following: BMGT 707 or BUAC
705. Formerly BMGT707.
Uses authoritative professional pronuncements
to examine advanced financial reporting
issues. Examines complex problems in
accounting and reporting; examples include
penisons, taxes, interest rate swaps, derivative
securities, international transactions, and
international financial reporting. Takes a user-
oriented perspective, and examines the ways in
which financial accounting information is used
by investors, analysts, and creditors. Examples
include if users adjust for alternative
accounting methods or for information that is
recognized versus disclosed.
BUAC 710 Advanced
Accounting Theory (3 credits)
Prerequisite: BUSI 610. Credit will be
granted for only one of the following:
BMGT 710 or BUAC 710. Formerly
BMGT710.
Contemporary issues in financial accounting.
The nature of income, the relationship between
asset valuation and income determination, and
various approaches to accounting for inflation.
The accounting standards setting process. The
measurement and valuation of assets (e.g.,
foreign investments) and liabilities (e.g., leases
and pensions).
BUAC 711 Financial Planning
and Control Systems for
Managers and Consultants (2
credits)
Prerequisites: BUSI 611, BUSI 630 and
BUSI 681; or permission of department.
For BMGT majors only. Credit will be
granted for only one of the following:
BMGT 71 1 and BUAC 711. Formerly
BMGT711.
This course provides an analysis of several
topics concerning financial planning/control
systems (management accounting systems).
Topics covered: design and use of cost
management systems (including activity based
costing systems), financial performance
measures for enhancing firm value, managerial
incentive contracts and accounting data,
management accounting and Internet-based
transactions, managing earnings and financial
ratios, use of balanced scorecard to evaluate
financial/nonfinancial managerial
performance, management accounting systems
and competitor analysis, behavioral aspects of
budgeting, post-auditing of capital
investments, accounting/economics aspects of
information security, and transfer pricing.
BUAC 71 3 The Impact of
Taxation on Business Decisions
(3 credits)
Prerequisite: BUSI 611. Credit will be
granted for only one of the following:
BMGT 713 or BUAC 713. Formerly
BMGT713.
The impact of tax law and regulations on
alternative strategies with particular emphasis
on the large, multidivisional firm. Problems of
acquisitions, mergers, spinoffs, and other
divestitures from the viewpoint of profit
planning, cash flow, and tax deferment.
BUAC 726 Advanced
Accounting Systems (3 credits)
Prerequisite: BMGT 326. Credit will be
granted for only one of the following:
BMGT 716 or BUAC 726. Formerly
BMGT716.
A study of current information technologies
and their interaction with accounting systems
and the accounting profession. Topics include:
systems analysis and design; databases;
electronic commerce and data security;
communications and image processing; and
expert systems and decision support systems.
BUAC 735 Ethical and
Professional Issues in
Accounting (3 credits)
Prerequisites: BMGT 201, BMGT 31 1 and
BMGT 422. Not open to students who have
completed BMGT 411. Credit will be
granted for only one of the following:
BMGT 411, BMGT 714 or BUAC 735.
Formerly BMGT7 14.
Examines the issues of professionalism and
ethics in all segments of accounting. Students
wishing to take this course should not take
BMGT 411.
BUAC 743 Financial Statement
Analysis (2 credits)
Prerequisite: BUSI610. For Majors only or
permission of department.
Provides students with the tools to conduct a
financial statement analysis, which is part of
an overall business analysis. This involves
understanding and using the information that
financial statements are communicating to
users.
BUAC 750 Research and
Internship in Accounting (3
credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: BMGT 717 or BUAC 750.
Formerly BMGT717.
Completion of a research paper on an
approved accounting topic. Supervised
sponsored internship in an entity outside the
University.
BUAC 758 Special Topics in
Accounting and Information
Assurance (1-3 credits)
Prerequisite: permission of department.
Repeatable to 09 credits if content differs.
Formerly BMGT798.
Selected advanced topics in the various fields
of graduate study in accounting and
information assurance.
BUAC 759 Independent Study in
Accounting and Information
326
Assurance (1-6 credits)
1 semester hours. Repeatable to 06 credits
if content differs. Formerly BMGT708.
Independent study for masters students in
accounting and information assurance.
BUAC 765 Business Ethics for
Accountants and Auditors (3
credits)
Restricted to BMSB program.
Considers all facets of business ethics issues
within an accounting and auditing context.
Ethical theory, corporate social responsibility,
and individual decision-making are
considered. Some of the applied topics that
may be covered in this course include, but are
not limited to, intellectual property issues,
corporate downsizing, outsourcing, global
ethics, crises management, and employment
ethics.
BUAC 780 Financial Statement
Analysis for Accountants and
Auditors (3 credits)
Restricted to BMSB program.
Provides a framework for using a firm's
financial statements to perform a
comprehensive analysis of the firm's operating
performance, cash management, and financial
position as well as to value the firm and to
detect earning management. It includes an
overview of the accounting and auditing
standard setting framework, and the relevance
of U.S. GAAP as well as International
Financial Reporting Standards (IFRS) to
accounting recognition, measurement,
presentation, and disclosure. The course also
identifies the analytical relevance of a selected
set of more advanced accounting topics (e.g.,
valuing employee stock options).
BUAC 782 Advanced Managerial
Accounting and Control
Systems (3 credits)
Restricted to BMSB program.
Focuses on topics that emphasize the role of
managerial accounting in a firm's overall
management planning and control structure. A
key concern is to show how effective
organizations ensure that the parts of the
organization work together to create the
whole, and how the sum of the parts, through
synergy, can indeed be greater then the whole.
BUAC 784 Business
Comunications for Accountants
and Auditors (2 credits)
Restricted to BMSB Program.
Focuses on the art of communication and its
effects on people, organizations, and other
stakeholders. The course will focus on two
aspects of business communications:
persuasion and effective presentations. The
objective for the persuasion sessions is to have
students improve upon their day-to-day oral
business communications skills. This will be
accomplished as participants learn to tailor
each communication to the person or people
with whom they are speaking.
BUAC 786 Internal Auditing I:
Conceptual and Institutional
Framework (3 credits)
Restricted to BMSB Program.
Provides students with an overview and basic
understanding of internal auditing. Internal
audit's role in internal control, risk
management, business processes and risks, and
Sarbanes-Oxley, Section 404 compliance
efforts compliance efforts are considered.
Internal auditing is presented as an integral
part of effective corporate governance.
Examples of assurance and consulting
activities undertaken by the internal audit
function, as well as the sourcing strategy (i.e.,
full insourcing, co-sourcing or full outsourcing
models) are discussed. Students ae introduced
tointernal control theory, test design concepts
and internal auditing bestpractices.
BUAC 787 Internal Auditing II:
Internal Audit Application and
Practice (3 credits)
Prerequisite: BUAC787. Restricted to
BMSB program.
This advanced internal auditing course
contains an in-depth coverage of the internal
audit process. Students receive experience in
planning and conducting internal audit
assurance and consulting engagements. Also,
students build on the theory and techniques
introduced in Internal Auditing I, through
practical, in-depth coverage of specific audit
areas. Other matters covered include audit
evidence, workpapers, audit sampling, and
communicating of engagement results. Internal
auditing case studies are used to reinforce the
learning process.
BUAC 788 Action Learning
Project (1-2 credits)
Restricted to BMSB program. Repeatable
to 2 credits if content differs.
A significant consulting project within teams,
designed by individual MS student
participants and faculty. It may also be an
individual project/study or a business
simulation exercise.
BUAC 790 Informtion Security,
Audit and Control (3 credits)
Restricted to BMSB program.
Builds on basic information technology (IT)
courses, focusing on key issues including IT
security, IT controls, and IT auditing.
Addressing issues such as auditing a computer
information system; assessing risks;
identifying control objectives; identifying
appropriate audit procedures; learning the
concepts and basic features or audit software
thereby providing the tools for choosing audit
software; conducting an operational audit
basic controls over computer information
systems; and developing world-class IT
control frameworks.
BUAC 792 Forensic
Accounting/Auditing (3 credits)
Restricted to BMSB program.
Provides a theoretical background and
practical application of fraud examinations and
corporate investigations. Fraud prevention,
detection, investigation, and related matters
such as courtroom procedures will be
included. Also considered are topics such as
FCPA and securities fraud. A wide variety of
teaching tools are used.
Decision and
Information
Technologies
(BUDT)
BUDT 703 Business Process
Anaylsis for Information
Systems (2 credits)
For majors only or permission of
department. For BMGT majors only. Credit
will be granted for only one of the
following: BMGT 703, BMGT 725 or
BUDT 703. Formerly BMGT703.
Helps students gain a solid foundation in the
concepts, processes, tools, and techniques
needed in analyzing business processes and
conducting information systems projects.
BUDT 704 Database
Management Systems (2
credits)
Corequisite: BUSI620. For BMGT majors
only. Credit will be granted for only one of
the following: BMGT704, BMGT721 or
BUDT704. Formerly BMGT704.
Introduction to the conceptual and logical
design of relational database systems and their
use in business environments. Topics include
information modeling and optimization via
normalization; Structured Query Language
(SQL); Client/Server architectures;
Concurrency & Recovery; Data Warehousing.
BUDT 705 Data Networks and
Infrastructures (2 credits)
For BMGT majors only. Credit will be
granted for only one of the following:
BMGT705, BMGT726 or BUDT705.
Formerly BMGT705.
Technical and mangerial aspects of business
data communications, networking, and
telecommunications with a particular emphasis
on internet-based technologies and services.
Content includes history and structure of the
telecommunications industry, including key
legislative, regulatory and legal milestones,
and management of the technical and
functional components of telecommunications
and data communications technology.
BUDT 706 Social Media and Web
2.0 (2 credits)
For majors only.
Over the past years, social computing
technologies such as online communities,
blogs, wikis, and social networking systems
have become important tools for individuals to
seek information, socialize with others, get
support, collaborate on work, and express
themselves. Increasingly, businesses are trying
to leverage web 2.0 by using social computing
technologies to communicate with customers,
employees, and other business partners or to
build new business models. This course will
review concepts and principles related to web
2.0 and examine issues and strategies
associated with business use of social
computing technologies.
BUDT 71 Information
Technology and Organizational
Transformation (2 credits)
Three hours of lecture per week.
Prerequisite: BUSI621 or BUSI622. For
majors only or permission of department.
Credit will be granted for only one of the
following: BMGT 720 or BUDT 7 10.
Formerly BMGT720.
Focuses on understanding (a) the nature of
new and exciting emerging technologies, (b)
their value propositions for specific
organizations, and (c) how these technologies
will shape value creation, value capture and
competition in the future. Topics include
Social Computing, Telecom applications in
Wireless, LBS and 3G Telephony,
Outsourcing/Off- shoring, Open Source
Software, Intelligent Devices and
Applications, Privacy /Ethics and Managing IT
Innovation.
327
BUDT 713 Security and Control
of Information Systems (3
credits)
Prerequisite: BUSI 620. Credit will be
granted for only one of the following:
BMGT 727 or BUDT 713. Formerly
BMGT727.
The information control risks faced by
corporations. Techniques for enhancing the
security and integrity of corporate information
resources. The auditing and control procedures
for corporate information systems. Actual case
studies.
BUDT 732 Decision Modeling
with Spreadsheets (2 credits)
Prerequisites: BUSI630 or permission of
instructor. Credit will be granted for only
one of the following: BMGT732 or
BUDT732. Formerly BMGT732.
Introduces analytical modeling for managerial
decisions using a spreadsheet environment.
Includes linear and nonlinear optimization
models, decision making under uncertainty
and simulation models.
BUDT 733 Data Mining for
Business (2 credits)
Prerequisite: BUSI 630. Credit will be
granted for only one of the following:
BMGT 733 or BUDT 733. Formerly
BMGT733.
Data mining techniques and their use in
strategic business decision making. A hands-
on course that provides an understanding of
the key methods of data visualization,
exploration, classification, prediction, time
series forecasting, and clustering.
BUDT 750 Game Theory for
Managerial Decisions (3
credits)
Prerequisite: BUSI690.
A study of managerial decision-making in
strategic (or interactive) situations
characterized by both conflict and cooperation.
Introduces basic tools of game theory
including simultaneous and sequential- move
games, equilibrium analysis, repeated
interactions, information assymetry, and
principal-agent models. Applications of game
theory to managerial decisions such as pricing,
promotions, entry/exit decisions, deterrence,
design of incentive contracts, supply chain
relationships, auctions.
BUDT 758 Special Topics in
Decision, Operations and
Information Technologies (1-4
credits)
Prerequisite: permission of department.
Repeatable to 09 credits if content differs.
Formerly BMGT798.
Selected advanced topics in the various fields
of graduate study in decision, operations and
information technologies.
BUDT 759 Independent Study in
Decision and Information
Technologies (1-6 credits)
1 semester hours. Repeatable to 06 credits
if content differs. Formerly BMGT708.
Independent study for masters students in
decision and information technologies.
BUDT 775 Pricing and Revenue
Management (2 credits)
For Majors Only or permission of
department. Credit will be granted for only
one of the following: BUDT758D or
BUDT775. Formerly BUDT758D.
Specialized course on pricing and revenue
management (PRM) that provides students
with tools and principles, drawn from several
disciplines (Operations, Microeconomics,
Decision Modeling, Statistics, Marketing, IS)
to make effective pricing decisions. Topics
covered include economics of pricing, strategy
and tactics of PRM, pricing optimization,
differentiated pricing, dynamic pricing, mark-
down pricing, legal and ethical issues in
mode Is/ methods used in making effective
PRM decisions and managerial or
organizational factors that hold the key to
success in execution of PRM.
Finance (BUFN)
BUFN 700 Investment
Management (3 credits)
Corequisite: BUSI 640. Credit will be
granted for only one of the following:
BMGT 743 or BUFN 700. Formerly
BMGT743.
Methods of security selection and portfolio
management in the debt and equity markets.
Investment alternatives, securities markets,
bond and common stock valuation, options,
portfolio theory, and behavior of stock prices.
BUFN 702 Applied Equity
Analysis and Portfolio
Management (3 credits)
Prerequisite: BUSI 640. Credit will be
granted for only one of the following:
BMGT 702 or BUFN 702. Formerly
BMGT702.
Applications of finance concepts to definitions
of investment objectives, equity analysis,
portfolio analysis and management, and
investment performance evaluation. Cases and
studies of actual securities. Emphasis on
fundamental analysis and stock
recommendations.
BUFN 714 Advanced Financial
Management (3 credits)
Prerequisite: BUSI 640. Credit will be
granted for only one of the following:
BMGT 741 or BUFN 714. Formerly
BMGT741.
Advanced corporate finance course that builds
on the core course. Study of investment and
financing decisions faced by firms at various
stages in their life cycles. Topics include
advanced capital budgeting and capital
structure, real options and option-like features
in securities, financial contracting,
governance, financial distress, and capital-
raising transactions ranging from IPOs for
young firms to complex hedging strategies for
large firms. Pedagogy uses mix of lectures and
case studies.
BUFN 716 Financial
Restructuring and Strategy (3
credits)
Prerequisite: BUSI 640. Credit will be
granted for only one of the following:
BMGT 742 or BUFN 716. Formerly
BMGT742.
Integration and extension of financial theory
and principles to analyze financial, asset and
ownership restructuring decisions. A valuation
framework is used to study strategic decisions
such as mergers and acquisitions, share
repurchases, exchange offers, leveraged
recapitalization, joint ventures, employee
stock option plans, divestitures and spin-offs.
BUFN 722 Banking and
Financial Institutions (3 credits)
Prerequisite: BUSI 640. Credit will be
granted for only one of the following:
BMGT 745 or BUFN 722. Formerly
BMGT745.
The role of financial management in banking
and financial institutions. The economic role
and regulation of banking and financial
institutions, analysis of risks and returns on
financial assets and liabilities, and the
structure of assets, liabilities and capital.
BUFN 724 International
Financial Management (3
credits)
Prerequisite: BUSI 640. Credit will be
granted for only one of the following:
BMGT 746 or BUFN 724. Formerly
BMGT746.
The role of financial management in the
multinational firm. The financing and
managing of foreign investments, assets,
currencies, imports and exports. National and
international financial institutions and markets.
BUFN 726 Futures and Options
Contract (3 credits)
Prerequisite: BUSI 640. Credit will be
granted for only one of the following:
BMGT 744 or BUFN 726. Formerly
BMGT744.
The institutional features and economic
rationale underlying markets in futures and
options. Valuation of futures and options.
Hedging, speculation, structure of futures
prices, interest rate futures, efficiency in
futures markets, and stock and commodity
options.
BUFN 731 Fixed Income
Securities (3 credits)
Corequisite: BUFN 700. For BMGT majors
only.
Focuses on understanding financial
instruments that have market values which are
sensitive to interest rate movements. Develop
tools to analyze interest rate sensitivity and
value fixed income securities. Topics include a
variety of fixed income assets and related
securities, including: zero coupon government
bonds; coupon bearing government bonds;
exchange -traded bond options; bonds with
embedded options; floating rate notes; caps,
collars and floors; floating rate notes with
embedded options; forward contracts; interest
rate swaps; bond futures and options on bond
futures.
BUFN 735 Computational
Finance (3 credits)
Prerequisites: BUSI 630 and BUSI 640.
Introduces and applies various computational
techniques useful in management of equities
and fixed income portfolios, valuation of
financial derivatives, such as stock options,
valuation of fixed income securities and their
derivatives. Techniques include portfolio
Monte Carlo Simulation, binomial and Black-
Scholes option pricing models, value at risk
and stochastic processes.
BUFN 738 Investment Fund
Management (3 credits)
Prerequisite: BUSI 640. For BMGT majors
only. Repeatable to 09 credits.
Provides second-year Master in Business
Administration students with the opportunity
to apply the skills learned in finance classes to
328
actual investment decisions through
management of an investment fund.
BUFN 740 Capital Markets (2
credits)
Prerequisite: BUSI640. For majors only.
Designed to deepen the foundations necessary
to finance focused students, especially those
intending to specialize in the quantitative areas
of finance including investments, fixed
income, and financial engineering.
BUFN 750 Valuation in
Corporate Finance (2 credits)
Prerequisite: BUS1640. For majors only.
Credit will be granted for only one of the
following: BUFN714 or BUFN750.
Formerly BUFN7 14.
An advanced topics course in Corporate
Finance dealing with valuation. Main topics
will be, building pro forma statements, cost of
capital, using ratios and comparables to value
projects and firms, dicounted cash flow
valuations, WACC and APV methods of
valuation and Real Option Valuations.
BUFN 751 Financial Strategy For
Corporations (2 credits)
Prerequisite: BUSI640. For majors only.
An advanced course in corporate finance,
focusing on the issues that firms face when
they plan to raise external capital from
financial markets. The focus is on the
financing problems faced by mid-market to
large firms and on capital raised from public
markets. The forms of external finance vary
from simple debt or equity to more complex
securities that bundle with an element of risk
management.
BUFN 752 Financial
Restructuring (2 credits)
Prerequisite: BUS1640. For majors only.
Credit will be granted for only one of the
following: BUFN716 or BUFN752.
Formerly BUFN7 16.
Focuses on identifying ways to increase firm
value through corporate restructuring. Specific
topics include: mergers and tender offers, spin-
offs, carve-outs, divestitures, takeover defense
strategies, leveraged buy-outs, and
international acquisitions. Additionally, the
theory, practice and empirical evidence related
to each of these topics will be covered.
Emphasis will be placed on valuation analysis
and strategic considerations.
BUFN 753 Corporate
Governance and Performance (2
credits)
Prerequisite: BUSI640. For majors only.
Deals with corporate governance and its
impact on shareholder value. Divergence of
interests between corporate insiders and
providers of funds leads to agency problems
which can impair corporate performance and
shareholder value. Various instruments of
corporate governance - internal as well as
external mechanisms - that can help align
managerial incentives with those of outside
investors, and hence help restore shareholder
value will be studied.
BUFN 754 Corporate Risk
Management (2 credits)
Prerequisite: BUS1640. For majors only.
Surveys the theory and practice of financial
risk identification, measurement, and
mitigation at financial and non-financial firms.
Topics will include hedging with options and
futures, interest rate risk management, Value-
at-Risk (VaR), Cashflow-at-Risk (CaR),
Earnings-at-Risk (EaR), credit risk, equity
risk, commodities risk, exchange rate risk, and
lessons from risk management disasters.
BUFN 755 Entrepreneurial
Finance and Private Equity (2
credits)
Prerequisite: BUSI640. For Majors only.
Credit will be granted for only one of the
following: BUSI647 or BUFN755.
Formerly BUSI647.
An advanced topics course in Corporate
Finance. The major emphasis is how financiers
help growing firms - and in particular young
start-ups - using different types of securities at
different points in the industry's and film's life.
Financing arrangements and securities studied
will include private equity funds and private
financings placements, Venture Capital (VC)
and preferred equity, Investment Banks
through Initial Public Offerings (IPOs),
Private equity finds, debt and leveraged
buyouts. Students will learn additional
techniques that will help them understand how
financiers value firms and how to understand,
plan and value different financing strategies.
BUFN 758 Special Topics in
Finance (1-4 credits)
Prerequisite: permission of department.
Repeatable to 09 credits if content differs.
Formerly BMGT798.
Selected advanced topics in the various fields
of graduate study in finance.
BUFN 759 Independent Study in
Finance (1-6 credits)
1 semester hours. Repeatable to 06 credits
if content differs. Formerly BMGT708.
Independent study for Masters students in
finance.
BUFN 760 Applied Equity
Analysis (2 credits)
Prerequisite: BUSI640. For Majors Only.
Credit will be granted for only one of the
following: BUFN702 or BUFN760.
Formerly BUFN702.
Students will learn to analyze equity securities
using the basic EIC
(Economy /Industry /Company) framework
used in the financial industry, paying special
attention to financial statement analysis.
Students also will learn the primary valuation
techniques used to estimate market values for
equity securities.
BUFN 761 Derivative Securities
(2 credits)
Prerequisite: BUSI640. For Majors Only.
Credit will be granted for only one of the
following: BUFN726 or BUFN761.
Formerly BUFN726.
Standard types of derivatives contracts are
presented, and illustrated as to how they are
used in practice. The theory of pricing these
contracts is then presented in detail. The use of
static and dynamic replication strategies, and
the concept of no-arbitrage strategies is
illustrated in numerous ways. Standard
valuation techniques are covered, and standard
formulas are presented. The theory is then
applied to develop specific pricing and
hedging strategies for various types of
derivatives on different underlying assets. The
management of the exposure of various risks is
covered in detail as well.
BUFN 762 Fixed Income
Analysis (2 credits)
Prerequisite: BUSI640. For Majors Only.
Credit will be granted for only one of the
following: BUFN731 orBUFN762.
Formerly BUFN731.
Describes important financial instruments
which have market values that are sensitive to
interest rate movements. Develops tools to
analyze interest rate sensitivity and value fixed
income securities. Defines and explains the
vocabulary of the bond management business.
BUFN 763 Portfolio Management
(2 credits)
Prerequisite: BUSI640. For Majors Only.
Credit will be granted for only one of the
following: BUFN700 or BUFN763.
Formerly BUFN700.
Provides training that is important in
understanding the investment process - the buy
side of the financial world. Specifically, the
objective is to provide graduate- level
instruction in the following topics, both in
theory and in using financial markets data to
test the basic theory and practice of portfolio
choice and equilibrium pricing models and
their implications for efficient portfolios.
BUFN 765 Fixed Income
Derivatives (2 credits)
Prerequisite: BUSI640. Recommended:
BUFN761 . For Majors Only. Credit will be
granted for only one of the following:
BUFN731 or BUFN765. Formerly
BUFN731.
Surveys fixed income assets and related
securities such as Exchange -traded bond
options; bonds with embedded options;
floating rate notes; caps, collars, and floors;
floating rate notes with embedded options.
Also surveys advanced tools for interest-rate
and fixed-income portfolio management,
including the use of derivative securities, and
the application of binomial trees for analysis
of options, and a sound understanding of
stochastic yield curves.
BUFN 766 Financial Engineering
(2 credits)
Prerequisite: BUFN761 orBUFN726. For
Majors Only. Credit will be granted for
only one of the following: BUFN735 or
BUFN766. Formerly BUFN735.
Develop Excel and Visual Basic (VBA)
models to solve problems related to portfolio
management, options valuation, fixed income
securities, interest rate processes, and risk
management. This course thus bridges theory
with the design of algorithms and models that
can be directly applied in practice.
BUFN 770 International
Investment (2 credits)
Prerequisite: BUSI640. For Majors Only.
Credit will be granted for only one of the
following: BUFN724; or BUFN770 and
BUFN771. Formerly BUFN724.
Addresses international stock markets,
portfolio theory, international interest rates,
exchange rates and exchange rate derivatives
(options, forwards, and futures), exchange rate
swaps and exchange rate exposure (operating,
translation, and transaction), foreign
investment strategey.
BUFN 771 International
Corporate and Project Finance
(2 credits)
Prerequisite: BUFN770. For majors only.
Credit will be granted for only one of the
following: BUFN724; or BUFN770 and
BUFN771. Formerly BUFN724.
329
Continuation of BUFN770. Issues addressed
will include captial budgeting, project
financing, exhange rate exposure (operating,
translation, and transaction), foreign
investment strategy, and risk management.
BUFN 772 Bank Management (2
credits)
Prerequisite: BUSI640. For Majors Only.
Credit will be granted for only one of the
following: BUFN722 or BUFN772.
Formerly BUFN722.
Analyze and discuss readings in bank
management, with primary focus on the
measurement and management of risk,
including credit, market, and interest rate risk.
Look at the management of liquid reserves.
Examine the special nature of financial
institutions, incorporating their functions,
policies, services, and regulation. Study the
evolving nature of the financial services
inductry, by reading the financial press and by
having outside practitioner speakers. Focus is
on U.S. banks.
BUFN 773 Institutional Asset
Management (2 credits)
Prerequisite: BUSI640. For majors only.
Examines how money is managed by
organizations such as university endowments,
pension funds, mutual funds, hedge funds, and
private equity funds. Involves a mixture of
finance and economics and emphasizes the
incentives professional money managers face
within the context of the organizational
structure in which they operate. Particular
attention is paid to compensation structures
and monitoring mechanisms.
Logistics, Business,
and Public Policy
(BULM)
BULM 720 The Green Supply
Chain (2 credits)
For majors only.
In response to international regulation of
carbon emissions and increasing corporate
responsibility pressures, companies are
seeking to develop greener supply chains.
Companies such as WalMart have undergone a
paradigm shift in how they manage their
businesses to emphasize environmental
stewardship and due diligence on product
sustainability. Students are provided with key
concepts and tools for designing and managing
environmentally sustainable, low-impact
supply chains.
BULM 722 Supply Chain
Planning: Technology
Applications (3 credits)
For majors only.
Supply chain mangers rely on advanced
technology software applications to meet the
challenge of a global economy. Students are
provided with hands-on experience in
advanced software systems that are closely
integrated with enterprise resource planning
systems. Major emphasis is placed on
demonstrating how these systems result in cost
reductions and service improvements for
global supply chains.
BULM 724 Negotiations in
Supply Chain Management (2
credits)
For majors only.
This high experiential course will improve
students' negotiation skills and capacity to
acquire and effectively use power. By using a
variety of assessment tools, feedback sources,
skill-building exercises, and exercise
debriefings, the class will increase students'
negotiating self-confidence and improve their
capacity to achieve win-win solutions to
individual, team, and organizational problems.
The course is designed to enhance students
negotiating self confidence and improve
students analytical skills, interpersonal skills,
creativity (e.g., identifying creative solutions
to conflict), and persuasive abilities.
BULM 726 Purchasing
Management (2 credits)
For majors only.
Examines purchasing methods from both a
tactical and straegic viewpoint. Special
emphasis is placed on developing purchasing
strategies from international suppliers and the
trade-offs between outsourcing and insourcing.
BULM 732 Logistics
Management (3 credits)
For BMGT majors only or permission of
department. Credit will be granted for only
one of the following: BMGT 772 or BULM
732. Formerly BMGT772.
Theoretical and case material is used to
analyze managerial decisions related to
business logistics. The many trade-offs faced
by a logistics manager are examined such as
the trade-off between inventory levels and
mode of transportation used, the trade-off
between inventory levels and customer
service, and the trade-offs that should be made
if they reduce total logistics costs or increase
company profits.
BULM 733 Global Trade
Logistics (2 credits)
For majors only or permission of
department. Credit will be granted for only
one of the following: BMGT773 or
BULM733. Formerly BMGT773.
Acquaints students with managerial issues in
international logistics and transportation, and
provides students with an understanding of
issues related to import/export management
and the global marketplace.
BULM 734 Assessing and
Managing Supply Chain Risks (3
credits)
For majors only.
Supply chain managers are facing an
increasingly volatile operating environment,
with constant danger of trading community
disruption from business, social and
environmental risks. Students are provided
with a working knowledge of both the core
techniques of supply chain risk assessment and
mitigation; as well as best practices in
establishing formal corporate supply chain risk
management programs. A semester-long X-
Treme Supply Chain Simulation will enable
students to gain hands-on experience in
navigating a computer company through a
complex and risky four quarters of global
business operations.
BULM 736 Executives in Supply
Chain Management (3 credits)
For majors only.
Designed to provide students an opportunity to
engage in intensive interaction with senior
supply chain executives from a cross-section
of industries. Executives share their insights
on leading competitive supply chains in the
global marketplace, while students research
the competitive supply chain dynamics of each
executive's industry.
BULM 742 Global Supply Chain
Resources Planning (2 credits)
For Majors only or permission of
department.
Provides students with an overall
understanding of how firms use an advanced
supply chain planning (ASCP) application as
an integral part of their materials management
process which includes such activities as
production planning, materials requirements
planning, and distribution requirements
planning.
BULM 744 Global Supply Chain
Risk Management (2 credits)
For majors only or permission of
department.
Explores methods to build enteiprise
resillience from the perspectives of the supply
chain planner and supply chain manager.
Addresses concerns assessing strategic &
operational risks, day to day uncertainties in
demand & supply and ensuring business
continuity after low probability but high
impact events such as a terrorist attack or
earthquake.
BULM 758 Special Topics in
Logistics, Business and Public
Policy (1-4 credits)
Prerequisite: permission of department.
Repeatable to 09 credits if content differs.
Formerly BMGT798.
Selected advanced topics in the various fields
of graduate study in logistics, business and
public policy.
BULM 759 Independent Study in
Logistics Management (1-6
credits)
1 semester hours. Repeatable to 06 credits
if content differs. Formerly BMGT708.
Independent study for Masters students in
Logistics Manaaement.
Marketing (BUMK)
BUMK 701 Marketing Research
Methods (2 credits)
Cannot be repeated for 3 credits..
Prerequisites: BUSI 630 and BUSI 650.
Credit will be granted for only one of the
following: BMGT 752 or BUMK 701.
Formerly BMGT752.
The process of acquiring, classifying and
interpreting primary and secondary marketing
data needed for intelligent, profitable
marketing decisions. Evaluation of the
appropriateness of alternative methodologies,
such as the inductive, deductive, survey,
observational, and experimental. Recent
developments in the systematic recording and
use of internal and external data needed for
marketing decisions.
BUMK 706 Marketing Analysis (2
credits)
Cannot be repeated for 3 credits..
Prerequisite: BUSI 650.
Introduction to modeling tools used to support
marketing analysis and decision making.
Applications in strategic marketing, marketing
segmentation, new product development, sales
promotion analysis, pricing, design of
marketing mix, sales force allocation, and
direct marketing. Spreadsheet driven cases and
illustrative readings.
330
BUMK711 New Product
Marketing (3 credits)
Prerequisite: BUSI 650.
Management of new products and product
lines with focus on innovation process,
specifically development and launching of
new products. Topics include: strategic
planning and policy for new products,
opportunity analysis, idea generation and
concept development, project evaluation,
project design and development. Also covered:
targeting, positioning, and product decisions;
market testing and product launch issues.
Emphasis on how product managers can best
use concepts and tools.
BUMK 712 Consumer Product
Marketing (3 credits)
Prerequisite: BUSI 650.
Focus is on strategy development at product
category level. Attention to integrated
marketing communications within that
Extensive use of primary and secondary data.
Analytical skills developed include
forecasting, P&L analysis, and product
category analysis. Role of the product/brand
manager in customer- foe used companies
examined through a simulation.
BUMK 715 Consumer Behavior
(2 credits)
Cannot be repeated for 3 credits.
Prerequisite: BUSI650. For Business
Majors only. Credit will be granted for only
one of the following: BMGT754 or
BUMK715. Formerly BMGT754.
Analysis of customer decision-making and
how marketing strategy can be used to
influence those decisions. The framework is a
buyer behavior model, in which concepts from
psychology, sociology, and economics are
applied to individual and organizational
purchase decisions. Marketing strategies of
leading firms in consumer products,
technology, and services (including internet
services) are analyzed using a variety of case
study formats. Focus is consumer behavior;
however, principles can also be applied to the
decision-making of business.
BUMK 716 Brand Management
(2 credits)
Prerequisite: BUSI650. For Majors only or
permission of department.
Brand names are valuable assets for firms.
Effective brand management is critical to
maintaining the long-term profitability of
products and services. Topics include
understanding brands from the customer's
perspective, building brand equity, measuring
brand equity, leveraging brand equity,
managing brand portfolios and managing
brands over time.
BUMK 717 Integrated Marketing
Communications (2 credits)
Prerequisite: BUSI650. For Majors only or
permission of department.
Marketing communications are a complex but
critical component of marketing strategy.
Topics include communication tools:
advertising, sales promotions, coiporation
communications, one-on-one or direct
marketing, public relations, internet
communications, sponsorship/events
marketing, and marketing communcation
plans: defining objectives, implementing the
plan, and measuring communications
effectiveness. Achieving integration in the
content, look, and feel of all marketing
communications is stressed.
BUMK 720 eService (3 credits)
Prerequisite: BUSI 650.
Management of service over electronic
networks such as the internet. Focus is
increasing revenues through customer
acquisition and retention, due to improved
service. Topics: leveraging of unique nature of
the Internet to improve service, managing
customer interface, managing online
relationships, and development of e-service in
variety of contexts, including government and
education. Seminar format fosters active, give-
and-take environment. Guest speakers provide
contact with relevant business issues.
Discussion of current issues in e-service
management.
BUMK 721 Consumer Product
Marketing Simulation (2 credits)
Prerequisite: BUSI650. For Majors Only.
The objective of the simulation is to place the
student in the role of Brand Manager and give
hands-on experience making the marketing
decisions for an over-the-counter
pharmaceutical product. In essence,
PharmaSim is a flight simulator for brand
managers.
BUMK 722 Customer Equity
Management (2 credits)
Prerequisite: BUSI650. For majors only.
Focuses on managing customers of a business,
whether in B2B or B2C space, as a portfolio of
equity; understanding the current and future
value of customers to the business; selective
acquisition, development, and retention of
customers using latest developments in
information technology.
BUMK 731 Business-to-
Business Marketing (2 credits)
Cannot be repeated for 3 credits.
Prerequisite: BUSI 650.
Focus is large fraction of marketing activity
directed at organizational customers
(businesses, non-profits and government).
Marketing strategies, tactics and analytical
tools most relevant when marketing to
organizational customers are covered.
Readings, cases and term paper contribute to
understanding how to build long term
buyer/seller relationships. Course is
appropriate for anyone interested in
understanding relationships between
organizations, including vertical strategic
alliances.
BUMK 736 Service Marketing
and Management (2 credits)
Cannot be repeated for 3 credits.
Prerequisite: BUSI 650.
Examines special challenges service marketing
poses for managers because of the intangible,
heterogeneous nature of the product, and the
critical role of customer contact employees in
service delivery. Strategies for meeting these
challanges are addressed. Topics include 1)
customer relationship management, 2) the
design and execution of the service delivery
process, 3) the development and
implementation of employee customer service
skills, 4) the measurement and management of
critical outcome variables, such as customer
satisfaction, customer equity, and customer
lifetime value, and 5) the role of emerging
technology in customer service.
BUMK 740 Marketing High
Technology Products (2
credits)
Cannot be repeated for 3 credits.
Prerequisite: BUSI 650.
Examines unique characteristics of marketing
in dynamic high technology industries.
Explores implications for channel
management, product development, and
bundling of products and services to develop a
unique value proposition.
BUMK 753 Global Marketing (2
credits)
Prerequisite: BUSI650. Cannot be repeated
for 3 credits. Credit will be granted for only
one of the following: BMGT 753 or
BUMK 753. Formerly BMGT753.
The environmental, organizational, and
financial aspects of global marketing are
covered. It also describes the special
marketing research, pricing, channels of
distribution, product policy, and
communication issues which face U.S. firms
doing business in global markets.
BUMK 757 Marketing Strategy (2
credits)
Cannot be repeated for 3 credits.
Prerequisite: BUSI 650. Credit will be
granted for only one of the following:
BMGT 757 or BUMK 757. Formerly
BMGT757.
A capstone marketing course. Marketing
strategies designed to manage products in
selected market segments. Topics covered
include competitor analysis, buyer analysis,
market segments, and product strengths and
weaknesses; product related issues are
identified and marketing strategies developed,
assessed and implemented.
BUMK 758 Special Topics in
Marketing (1-4 credits)
Prerequisite: permission of department.
Repeatable to 09 credits. Formerly
BMGT798.
Selected advanced topics in the various fields
of graduate study in marketing.
BUMK 759 Independent Study in
Marketing (1-6 credits)
1 semester hours. Repeatable to 06 credits
if content differs. Formerly BMGT708.
Independent study for Masters students in
Marketing.
Management and
Organization (BUMO)
BUMO 702 Managerial Staffing
(3 credits)
Credit will be granted for only one of the
following: BMGT 783 or BUMO 702.
Formerly BMGT783.
Aimed at increasing an understanding of the
legal, technical, and practical issues involved
in organizational staff forecasting, and hiring
and termination procedures.
BUMO 704 Problems and
Applications in Human
Resource Management (3
credits)
Prerequisite: BUSI663. Credit will be
granted for only one of the following:
BMGT761 or BUMO704. Formerly
BMGT761.
Applications in the design, implementation,
and evaluation of human resource
331
management programs. Experiential learning
activities and simulations.
BUMO 712 Leadership
Development (3 credits)
Prerequisite: BUSI664. For BMGT majors
only.
Focuses on developing skills to inspire,
influence and organize others to accomplish
key goals. Building on leadership theories,
course includes assessment & role-playing
activities, discussions, cases, and exercises to
assess and develop personal capabilities.
BUMO 714 Competitive and
Collaborative Negotiation (2
credits)
Corequisite: BUM0715. For majors only.
Credit will be granted for only one of the
following: BUMO 714 or BMGT764.
Formerly BMGT764.
Increase negotiating self-confidence and
improve capacity to achieve win-win solutions
to organizational problems. Improve
effectiveness at finding creative solutions to
conflict.
BUMO 715 Advanced
Negotiation Challenges (2
credits)
Corequisite: BUM0714. For majors only.
Practice negotiations using multiple media
(email, phone). Multiple party negotiations.
Cross-cultural negotiations.
BUMO 720 Understanding
Organizational Change (2
credits)
Prerequisite: BUSI662 or BUSI664. For
BMGT majors only.
Develops the fundamental change knowledge
and skills of MBA students who plan to work
with organizations as change agents, whether
internally as managerial employees or
externally as outside consultants. Draws on
literatures from organizational behavior,
human resource management and strategic
management to identify models as
prescriptions of change.
BUMO 721 Managing
Organizational Change (2
credits)
Prerequisite: BUMO720. For majors only.
Develops the advanced knowledge and skills
of MBA students who plan to work with
organizations as change agents. Concrete and
useful strategies, tools, and interventions for
diagnosing organizational change situations,
analyzing problems, and designing and
implementing organizational change. Diverse
approaches to leading and managing various
types of organizational change.
BUMO 722 Organizational
Behavior: A Multicultural
Perspective (3 credits)
Credit will be granted for only one of the
following: BMGT 765 or BUMO 722.
Formerly BMGT765.
Study of organizational behavior from a
multicultural perspective.
BUMO 725 Networks and
Influence (2 credits)
For MBA majors only.
Focuses on networks, social capital, and
influence as they relate to operating effectively
in organizations. It draws heavily on emerging
literature related to social capital and
networks, but also integrates concepts from
persuasion, communication, and motivation
literatures to aid your efforts to build a
successful track record for yourself and your
organization.
BUMO 727 The Entrepreneur
and the Entrepreneurial Team (3
credits)
Prerequisite: completion of MBA core
requirements or permission of department.
Credit will be granted for only one of the
following: BMGT 781 or BUMO 727.
Formerly BMGT781.
The entrepreneur and the entrepreneurial team:
the entrepreneur and the team as it relates to
innovation, change, power, and risk-taking.
Entrepreneurs and their teams from a variety
of different firms present and discuss their
views on leadership.
BUMO 730 Corporate Venturing
(3 credits)
Prerequisite: completion of MBA core or
permission of department. Credit will be
granted for only one of the following:
BMGT 782 or BUMO 730. Formerly
BMGT782.
This course explores the skills, techniques, and
strategies that are required to instill
entrepreneurial behavior in large complex
organizations. Students study presentations
from real executives and business cases
wherein creativity, innovation, fast descision-
making, and trial and error implementation
have been applied successfully.
BUMO 732 New Venture
Creation (3 credits)
Prerequisite: completion of MBA core
requirements or permission of department.
Credit will be granted for only one of the
following: BMGT 780 or BUMO 732.
Formerly BMGT780.
Creating new ventures, including evaluating
the entrepreneurial team, the opportunity and
financing requirements. Skills, concepts,
attitudes and know-how relevant for creating
and building a venture; and preparation of a
business plan. These approaches are not
limited to new or growing enterprises.
BUMO 743 Technology Transfer
Commercialization Strategies (3
credits)
For MBA majors only. Credit will be
granted for only one of the following:
BMGT 785 or BUMO 743. Formerly
BMGT785.
Viewing technology as a strategic resource of
the firm, students develop an understanding of
the processes, risks, and rewards of technology
commercialization. Student teams are
organized to review and select a technological
innovation and then determine its commercial
viability in the market place.
BUMO 744 Creation of High
Potential Ventures (3 credits)
For MBA majors only. Credit will be
granted for only one of the following:
BMGT 787 or BUMO 744. Formerly
BMGT787.
This course focuses on the real life
experiences of high profile technology
entrepreneurs. Guest entrepreneurs and book
review reveal patterns of personal preparation,
strategic decision-making, and action that have
produced ventures with high value-added and
significant regional and national impact.
BUMO 748 Business Plan
Review (3 credits)
Repeatable to 06 credits. Formerly
BMGT796.
Evaluation of real business plans submitted to
the Dingman Center for Entrepreneurs hip.
Practicing venture capitalist and professors
focus on business plan critique and writing
skills, venture capitalist screening practices,
and the structure of electronic commerce. Past
business plan reviews are analyzed according
to the business model, target market,
competitive advantages/threats, stage of
development, management team and financial
status. Real investment decisions are made on
the basis of student recommendations. Subject
companies are contacted and evaluated.
BUMO 751 Implementing
Strategy: Organizing to
Compete (2 credits)
Prerequisite: completion of the MBA core
requirements or permission of department.
Corequisite: BUSI 690.
Organizational dynamics of competitive
advantage. Impact of alternative organizational
structures, planning and control systems,
human resource management practices, and
executive leadership styles on the
implementation of archetypically different
strategies.
BUMO 752 Strategic Growth for
Emerging Companies (2
credits)
For MBA majors only.
Explores the key elements of mastering the
move from being a successful small company
to achieving industry significance.
Supplemented by readings, video and guest
speakers, the course highlights the application
of practical lessons leading to strategic growth
and subsequent emergence as a player.
BUMO 753 Emerging Business
Formation (2 credits)
For majors only.
Business formation issues, legal obligations
that affect entrepreneurial activities, the
spectrum of financing methods available to
emerging businesses, creating management
and organization and a practical application of
the tools through practical projects.
BUMO 754 Global Strategy (3
credits)
Corequisite: BUSI 690. Credit will be
granted for only one of the following:
BMGT 795 or BUMO 754. Formerly
BMGT795.
The problems and policies of international
business enterprise at the management level.
Management of a multinational enterprise as
well as management within foreign units. The
multinational firm as a socio-econometric
institution. Cases in comparative management.
BUMO 756 Industry Analysis (2
credits)
For majors only. Recommended: BUSI690.
Credit will be granted for only one of the
following: BMGT792 or BUM0756.
Formerly BMGT792.
Conceptual framework and analytical tools for
understanding the dynamics of industry
structure. Impacts of past and future
attractiveness of the industry on profitability.
Developing and applying frameworks to
devise competitive strategies in uncertain
industries.
332
BUMO 757 Competitor Analysis
(2 credits)
For majors only. Recommended:
BUSI690.
Understanding of the dynamics of how
competitors interact in the marketplace.
Understanding economic and behavioral
motivations of industry players to design more
effective strategies.
BUMO 758 Special Topics in
Management and Organization
(1-4 credits)
Prerequisite: permission of department.
Repeatable to 09 credits if content differs.
Formerly BMGT798.
Selected advanced topics in the various fields
of graduate study in management and
organization.
BUMO 759 Independent Study in
Management and Organization
(1-6 credits)
1 semester hours. Repeatable to 06 credits
if content differs. Formerly BMGT708.
Independent study for Masters students in
management and organization.
BUMO 777 Technology
Commercialization (3 credits)
For majors only.
Students will build commercialization plans
for University of Maryland Technologies
protected by the Office of Technology
Commercialization. Students will learn
different mechanisms for successful
technology transfer and work closely with the
instructor, the Dingman Center managing
director and affiliated professionals.
Successful students may pursue additional
funding through the Dingman Center to
support further commercialization efforts.
BUMO 794 Essentials of
Negotiation (2 credits)
Restricted to BMSB program.
This highly experiential course will improve
students' negotiation skills and capacity to
acquire and effectively use bargaining power.
By using a variety of assessment tools,
feedback sources, skill-building exercises, and
exercise debriefings, the class will increase
students' negotiating self-confidence and
improve their capacity to claim value and
achieve win-win solutions to individual, team,
and organizational problems. The course is
designed to enhance students' negotiating self-
confidence and improve students' analytical
and decision-making skills (e.g.,
understanding bargaining zones, knowing
when an agreement can be made and when to
walk away; learning how to prepare for
negotiations), interpersonal skills, creativity
(e.g., identifying creative solutions to conflict),
and persuasive abilities.
BUMO 796 Leadership and
Human Resource Management
for Accountants and Auditors (3
credits)
Restricted to BMSB program.
Develops the concepts, frameworks, and skills
that are important to be effective leaders and
to successfully manage human resources.
Topics for discussion include: Creating a
motivating and empowering environment;
leadership attributes, power and effective
influence; building effective decision-making;
strategic management of human resources;
specification of the skills and competencies
requisite for job success; recruiting and
selecting employees to fit the job and the
organization; measuring, appraising and
improving performance. All of the topics
selected for discussion are critical ones that
every professional needs to know, regardless
of functional area (not just HR professionals),
and will help students become more effective
consultants, managers and leaders.
MBA Core and
Cross-Functional
(BUSI)
BUSI 603 Communications
Mastery Program II (.50 credits)
Corequisite: BMGT 698. For BMGT
majors only. Not open to students who
have completed BMGT 615. Credit will be
granted for only one of the following:
BMGT 603, BMGT 615 or BUSI 603.
Formerly BMGT603.
Part II of the Communications Mastery
Program is a continuation of Phase I, with the
goal of assisting students in demonstrating
mastery in their communication skills. The
phase will allow students fo further integrate
these skills into the Smith curriculum,
including course electives, career development
activities and the Group Consulting Project.
BUSI 604 Business Case
Competition (1 credits)
For BMGT majors only. Not open to
students who have completed BMGT 615.
Credit will be granted for only one of the
following: BMGT 604, BMGT 615 or
BUSI 604. Formerly BMGT604.
This competition integrates oral and written
assignments and individual assessments
culminating in a seven day competition.
During this competition students will integrate
elements from their various courses and
demonstrate mastery of their communications
skills. Teams are given a business case that
deals with the broad strategy issues facing a
company. The teams present their analyses and
recommendations in written and oral
presentations. In a multistage competition,
teams will be selected to advance to the final
round, which is judged by a panel of business
leaders who play the role of the company's
board of directors.
BUSI 605 Culture, Ethics and
Communication (2 credits)
For majors only or permission of
department.
Provides an opportunity for student discussion,
debate, and dramatization of topics relating to
ethics, corporate social responsibility, and
culture relevant to the current business
environment. Such issues are brought to life
through a project relating to corporate social
responsibility, guest speakers, role-plays, and
student-created dramatic performances.
BUSI 608 ELM: Special Topics (
credits)
For BMGT majors only. Formerly
BMGT608.
Selected advanced topics in the various fields
of graduate study in business and
management.
BUSI 610 Introduction to
Financial Accounting (2 credits)
For BMGT majors only.
Overview of financial accounting, periodic
financial statements and the financial reporting
process. Importance of financial statements as
information source for creditors and investors
and as a means by which managers can
communicate information about their firms.
BUSI 611 Managerial
Accounting (2 credits)
Prerequisite: BUSI610. For BMGT majors
only.
Use of accounting data in corporate planning
and control. Cost-volume- profit analysis,
budgeting, pricing decisions and cost data,
transfer pricing, activity-based management,
performance measures, and standard costing.
BUSI 620 Strategic Information
Systems (2 credits)
For BMGT majors only. Credit will be
granted for only one of the following:
BMGT 620 or BUSI 620. Formerly
BMGT620.
Use of information technology to achieve
competitive advantage, efficient operations,
and effective decision making. Analysis of
functions of information technology and its
impact on competitive strategy and
organizational operations.
BUSI 621 Strategic and
Transformational IT (2 credits)
For Majors only or permission of
department. Credit will be granted for only
one of the following: BUSI620 or
BUSI621.
Introduces students to the key issues in
managing information technology (IT) and
provides an overview of how major IT
applications in today's firms support strategic,
opertaional, and tactical decisions. Topics
include: synchroizing IT and business strategy;
the transformational impacts of IT; evaluating
and coping with new technologies; governing,
managing, and organizing the IT function
including outsourcing/off shoring
considerations; assessing the business value of
IT and justifying IT projects; and managing IT
applications in functional areas to support
strategy and business process.
BUSI 622 Managing Digital
Business Markets (2 credits)
For majors only or permission of
department.
The objective is to understand the strategic and
tactical issues involved in managing digital
businesses and markets. Also, some of the
characteristics of digital businesses and
markets that make them unique and
understand how companies can bes manage
them will be examined.
BUSI 630 Data, Models and
Decisions (2 credits)
For BMGT majors only.
Analytical modeling of business decisions;
uncertainty, risk and expected utility;
regression modeling to infer relationships
among variables.
BUSI 634 Operations
Management (2 credits)
For majors only or permission of
department.
Operations management is concerned with
efficient and effective design and operation of
business processes for delivering products
and/or services. Emphasis is given to process
analysis and design, capacity management and
bottlenecks, waiting lines and the impact of
uncertainty in process performance, quality
333
management, lean, six-sigma, and revenue
management.
BUSI 640 Financial Management
(2 credits)
For BMGT majors only.
Focuses on the valuation of the real assets of
firms as well as the valuation of stocks and
bonds, the primary financial assets in an
economy. While details vary, the conceptual
foundations of valuation boil down to three
themes: time value of money, no-arbitrage,
and systematic risk.
BUSI 647 Entrepreneurial
Finance and Private Equity (2
credits)
Prerequisite: BUS1640. For majors only or
permission of department.
An advanced topics course in Corporate
Finance. The major emphasis is how financiers
help firms plan for growth and finance firms
using different types of securities at different
points in the industry's and firm's life.
Securities will include private financings and
placements, Venture Capital (VC), Initial
Public Offerings (IPOs), Private Equity and
Leveraged Buyouts.
BUSI 650 Marketing
Management (2 credits)
For BMGT majors only.
Analysis of marketing problems and
evaluation of specific marketing efforts
regarding the organization's products and
services, pricing activities, channel selection,
and promotion strategies in both domestic and
international markets.
BUSI 660 Entrepreneurship and
New Ventures (2 credits)
For BMGT majors only. Credit will be
granted for only one of the following:
BUMO732orBUSI660.
Provides an introduction to important tools
and skills necessary to create and grow a
successful new venture. Integrates research
findings from a range of different practical and
intellectual perspectives, including
psychology, sociology, economics, strategic
management, and history into practical, hands
on lessons for an entrepreneur. Class projects
provide the foundations for new, real
businesses.
BUSI 661 Creativity for Business
Leaders and Entrepreneurs (2
credits)
For BMGT majors only.
Examines the concept of creativity as it applies
in today's and tomorrow's complex business
environment. An overview of the cognitive
foundations of creativity, examines many of
the preconceived notions about creativity in
business and discusses multiple ways in which
creativity can help business leaders and
entrepreneurs to succeed. Topics include
creativity techniques for groups and
individuals, creativity as a foundation to
recognize business opportunities and develop
innovative products and services, selecting
ideas and making them stick, mental and
organizational obstacles to creativity as well as
an overview of electronic tools to increase
creative capability.
BUSI 662 Leadership and
Teamwork (2 credits)
For BMGT majors only.
Course examines concepts of team-building
and leadership which are critical to managerial
success. Topics include leadership, decision
making, communication and conflict, work
motivation, building effective teams, and
organizational change and culture.
BUSI 663 Managing Human
Capital (2 credits)
Prerequisite: BUSI 662. For BMGT majors
only. Credit will be granted for only one of
the following: BMGT 663 or BUSI 663.
Formerly BMGT663.
Course examines core human resource
management principles and emphasizes skills
for maximizing an organization's human
capital. Topics include recruitment, selection,
performance feedback and incentives,
termination of poor performers, and managing
organizational change through human resource
systems and policies.
BUSI 664 Leadership and
Managing Human Capital (3
credits)
For BMGT majors only. Credit will be
granted for only one of the following:
BUSI662 and BUSI663 or BUSI664.
Examines concepts of leadership and human
resource management principles. Emphasizes
skill building and creating a competitive
advantage by creating a culture that develops
extraordinary leaders and unleashes employee
talent. Topics include leadership, decision
making, communication and conflict, work
motivation, teams, ensuring legal compliance
and leveraging diversity, recruiting, selecting
and retaining qualified employees who fit the
job and the organization, measuring
performance and providing feedback, and
managing changes in leadership and HR
strategy.
BUSI 665 Integration and
Teamwork (2 credits)
For majors only or permission of
department.
Provides students with the concepts,
frameworks, tools and skills necessary for
thinking and working in an integrative fashion
across functional areas of a business in a team
based environment.
BUSI 667 Cross-Cultural
Communication and Teamwork
(2 credits)
For majors only or permission of
department.
Provide managers a sound basis for
developing such competencies. Specifically,
we will develop an understanding of key
cultural differences, and how these differences
influence the management of individuals,
groups, and organizations.
BUSI 671 Supply Chain
Logistics and Operations
Management (2 credits)
Credit will be granted for only one of the
following: BMGT 671 or BUSI 671.
Formerly BMGT671.
This course introduces students to the concept
of value-driven supply chains and its
integration with operations. The course
focuses on the fundamental principles
underlying supply chains, using insights from
both operations management and logistics.
BUSI 672 Global Supply Chain
Management (2 credits)
For majors only.
Offers a practical blueprint for understanding,
building, implementing, and sustaining supply
chains in today's rapidly changing global
supply chain environment. It will provide the
student with a survey of the fast-moving
Supply Chain Management discipline and
practice, including the evolution of supply
chain strategies, business models and
technologies; current best practices in demand
and supply management; and methodologies
for conducting supply chain-wide diagnostic
assessments and formulating process
improvement plans.
BUSI 673 International
Economics for Managers (2
credits)
For majors only.
Focuses on understanding critical aspects of
the global business environment that influence
firm decisions and behavior. Globalization is
present in market competition, capital markets,
and managerial talent as evidenced by free
trade areas and economic unions forming, the
volatility in global financial markets, and the
continued rise of transnational firms. With
globalization, the challenge for firms is to
acknowledge, understand and act when
appropriate - to act by sourcing, lobbying, and
relocating value chain activities
internationally.
BUSI 674 Globalizing the
Enterprise (2 credits)
For majors only or permission of
department.
Focuses on the "strategic" and
"organizational" questions that a company
must address as it globalizes its footprint.
Among the questions that will be addressed
are: What are the potential benefits, costs, and
risks associated with going abroad? What
differentiates a "global" from a
"multidomestic" industry? What are the
sources of competitive advantagein a global
context?
BUSI 681 Managerial Economics
and Public Policy (2 credits)
For BMGT majors only.
Basic microeconomic principles used by firms,
including supply and demand, elasticities,
costs, productivity, pricing, market structure
and competitive implications of alternative
market structures. Market failures and
government intervention. Public policy
processes affecting business operations.
BUSI 683 The Global Economic
Environment (2 credits)
For BMGT majors only.
Relationship between national and
international economic environments.
Determinants of output, interest rates, prices
and exchange rates. Analysis of effect of
economic policies (fiscal, monetary, trade, tax)
on the firm and the economy.
BUSI 690 Strategic Management
(2 credits)
For BMGT majors only.
Analyze and identify profit opportunities and
threats in different industry and competitive
environments; Analyze and identify a firm's
valuable assets, resources and capabilities and
how they might be protected, leveraged, and
extended in the market; Learn how to organize
your company to be the best prepared to adapt
its strategy over time as the market
environment changes; and how to use organic
growth as well as mergers, acquisitions, joint
ventures, alliances, and divestitures to ensure
that the firm maintians the proper scale and
scope to compete effectively over time.
334
BUSI 691 Integrative Business
Plan Competition (2 credits)
For majors only or permission of
department.
Designed to inspire and enable students to
develop new business products, services,
processes and management models. Three-
person teams create a business plan to
commercialize an innovation and submit the
plan to the MBA business plan competition.
The plan can involve creation of independent
ventures or ventures within an established
business.
BUSI 698 MBA Consulting
Project (3-6 credits)
For BMGT majors only. Repeatable to 09
credits if content differs . Formerly
BMGT698.
Experiental research project in the
identification of management problems, the
evaluation of alternative solutions, and the
recommendation for management.
BUSI 758 Special Topics in
Business (1-4 credits)
Prerequisite: permission of department.
Repeatable to 09 credits if content differs.
Formerly BMGT798.
Selected advanced topics in the various fields
of graduate study in business.
BUSI 759 Independent Study in
Business (1-6 credits)
1 semester hours. Repeatable to 06 credits
if content differs. Formerly BMGT708.
Independent study for Masters students in
Business.
BUSI 761 The Environment of
International Business (2
credits)
Credit will be granted for only one of the
following: BMGT 794 or BUSI 761.
Formerly BMGT794.
The international business environment as it
affects company policy and procedures. In-
depth analysis and comprehensive case studies
of the business functions undertaken in
international operations.
BUSI 764 Business Law for
Managers (2 credits)
For majors only or permission of
department. Credit will be granted for only
one of the following: BMGT793 or
BUSI764. Formerly BMGT793.
Survey of United States legal institutions and
processes as well as substantive areas of the
law that affect business. Examination of tort
and contract law, the legal forms of business
organization and legal liability and major
regulatory laws that affect business.
BUSI 765 Business Ethics (2
credits)
Survey of applied topics in business ethics,
focusing on corporate social responsibility,
relationship of law and ethics, and individual
ethical decision making.
BUSI 771 New Venture
Financing (2 credits)
Prerequisite: BUSI640 or permission of
department. Credit will be granted for only
one of the following: BMGT740 or
BUSI771. Formerly BMGT740.
Development of skills for financing new
ventures (both small and potentially large).
Exploration of various funding sources.
Criteria used in evaluation and decision
process, including commercial banks, venture
capital companies, small business investment
companies, underwriters, private placement-
financial consultants, mortgage bankers, and
small business innovative research grants
(U.S. Government).
BUSI 780 Managing Digital
Businesses and Markets (3
credits)
Prerequisite: BUSI 620. Credit will be
granted for only one of the following:
BMGT 723 or BUSI 780. Formerly
BMGT723.
Provides an overview of business models and
strategy in the electronic marketplace. Focus is
placed on critical success factors in digital
businesses, and reasons for failures. It will
provide an understanding of the role of
emerging information technologies for
enabling new business models and markets,
and strategic issues of building companies in
the digital world.
BUSI 783 Information
Technology Fundamentals for
Managers (3 credits)
Designed for students who do not already have
a strong technology background, this course
provides an overview of a broad range of
information and communication technologies,
emphasizing networks and distributed
computing. Other topics include hardware and
operating systems, software development tools
and processes, relational databases, security
and cryptography, enterprise applications and
electronic commerce.
BUSI 785 Project Management
in Dynamic Environments (2
credits)
For majors only or permission of
department.
Addresses project management skills that are
required by successful managers in
increasingly competitive and faster-moving
environments. Examines fundamental
concepts of successful project management,
and the technical and managerial issues,
methods, and techniques.
BUSI 788 Introduction to Global
Business Experience (1 credits)
For BMBA, BMJT, BMPO, BMSW,
BNRS, LMBA, and EMBA majors only.
Introduction to the economic climate of the
country and region, history, political
influences on business landscape, and specific
opportunities and threats of doing business in
the destination country. Course is a
prerequisite to short-term study abroad classes.
BUSI 790 Management of
Technology (3 credits)
Credit will be granted for only one of the
following: BMGT 730 or BUSI 790.
Formerly BMGT730.
Students are introduced to a variety of
strategic and operational issues that arise when
managing in the presence of technological
innovation, and provides techniques to
approach these issues. Topics include the
formulation of innovation strategies,
technology diffusion and forecasting, the
process of developing new products and
services, productivity measurement, and the
implemention of process technologies aimed at
improving productivity (manufacturing and
services).
BUSI 791 Management of High
Technology, Research and
Development (3 credits)
For BMGT majors only or permission of
department. Credit will be granted for only
one of the following: BMGT 776 or BUSI
791. Formerly BMGT776.
The creation of competitive advantages
through the use of new technology. The
integration of technological strategy with
business strategy within the internal corporate
culture. Research and development in the
context of this strategy-structure of the firm.
The nature of Research and Development, the
management of creativity, and new product
development are also discussed.
BUSI 798 Global Business
Experience (2-4 credits)
For majors only or permission of
department. Repeatable to 06 credits if
content differs.
Provides MBA students the opportunity to
combine classroom learning and project
research with seminars in a host country. The
focus and locations visited will vary.
BUSI 799 Masters Thesis
Research (1-6 credits)
Cell Biology and
Molecular Genetics
(CBMG)
CBMG 688 Special Topics in
Cell Biology and Molecular
Genetics (1-4 credits)
Prerequisite: Twenty credits in CBMG
approved courses or permission of
instructor. Formerly MICB688.
Presentation and discussion of fundamental
problems and special subjects in the topics of
Cell Biology and Molecular Genetics.
CBMG 699 Special Problems in
Cell Biology and Molecular
Genetics (1-3 credits)
Repeatable to 10 credits if content differs.
Formerly PBI0699.
Emphasis is placed on research and discussion
of current problems in the area of Cell Biology
and Molecular Genetics.
CBMG 789 Seminar in Cell
Biology and Molecular Genetics
(2 credits)
Repeatable to 4 credits if content differs.
Formerly MICB789.
Cell Biology and Molecular Genetics
Department Seminar.
CBMG 799 Masters Thesis
Research (1-6 credits)
Master's Thesis Research in Cell Biology and
Molecular Genetics.
CBMG 898 Pre-Candidacy
Research (1-8 credits)
Pre-candidacy Research.
CBMG 899 Doctoral Dissertation
Research (1-8 credits)
Doctoral Dissertation Research.
Criminology and
Criminal Justice
(CCJS)
335
CCJS 400 Criminal Courts (3
credits)
Prerequisites: CCJS 100 or permission of
department; and CCJS300.
Criminal courts in the United States at all
levels; judges, prosecutors, defenders, clerks,
court administrators, and the nature of their
jobs; problems facing courts and prosecutors
today and problems of administration;
reforms.
CCJS 418 Seminar in
Criminology and Criminal
Justice (3 credits)
Repeatable to 18 credits if content differs.
Selected topics of interest in the field of
Criminology and Criminal Justice will be
covered.
CCJS 432 Law of Corrections (3
credits)
Prerequisites: CCJS100, CCJS105,
CCJS230,andCCJS300.
A review of the law of criminal corrections
from sentencing to final release or release on
parole. Probation, punishments, special
treatments for special offenders, parole and
pardon, and the prisoner's civil rights are also
examined.
CCJS 440 Security
Administration (3 credits)
Prerequisite: CCJS 100 and CCJS340.
Credit will be granted for only one of the
following: CCJS440 or CCJS498Z.
Formerly CCJS498Z.
Designed to introduce students to the complex
issues of Security Administration and the
critical terrorism issues facing the nation.
Emphasis is placed on understanding the
historical and contemporary issues effecting
U.S. Counterterrorism Policy. It also explores
the challenges facing today's security
administrators including: ethics, classified
information, intelligence, terrorist
organizations and incidents, physical and
personnel security, transportation and border
security issues.
CCJS 444 Advanced Law
Enforcement Administration (3
credits)
Prerequisites: CCJS 100 andCCJS340.
The structuring of manpower, material, and
systems to accomplish the major goals of
social control. Personnel and systems
management. Political controls and limitations
on authority and jurisdiction.
CCJS 451 Crime and
Delinquency Prevention (3
credits)
Prerequisites: CCJS 105 or CCJS350 or
permission of department; and CCJS300.
Methods and programs in prevention of crime
and delinquency.
CCJS 452 Treatment of
Criminals and Delinquents (3
credits)
Prerequisites: CCJS 105 or CCJS350 or
permission of department; and CCJS300.
Processes and methods used to modify
criminal and delinquent behavior.
CCJS 453 White Collar and
Organized Crime (3 credits)
Prerequisites: CCJS 105 or CCJS350; and
CCJS300.
Definition, detection, prosecution, sentencing
and impact of white collar and organized
crime. Special consideration given to the role
of federal law and enforcement practices.
CCJS 454 Contemporary
Criminological Theory (3
credits)
Prerequisites: CCJS105; and CCJS300; and
CCJS350.
Brief historical overview of criminological
theory up to the 50's. Deviance. Labeling.
Typologies. Most recent research in
criminalistic subcultures and middle class
delinquency. Recent proposals for
"decriminalization".
CCJS 455 Dynamics of Planned
Change in Criminal Justice I (3
credits)
Prerequisite: CCJS300 and permission of
department.
An examination of conceptual and practical
issues related to planned change in criminal
justice. Emphasis on the development of
innovative ideas using a research and
development approach to change.
CCJS 456 Dynamics of Planned
Change in Criminal Justice II (3
credits)
Prerequisite: CCJS455 or permission of
department.
An examination of conceptual and practical
issues related to planned change in criminal
justice. Emphasis on change strategies and
tactics which are appropriate for criminal
justice personnel in entry level positions.
CCJS 457 Comparative
Criminology and Criminal
Justice (3 credits)
Prerequisites: CCJS 105 or CCJS350; and
CCJS300.
Comparison of law and criminal justice
systems in different countries. Special
emphasis on the methods of comparative legal
analysis, international cooperation in criminal
justice, and crime and development.
CCJS 458 Special Topics in
Study Abroad IV (1-6 credits)
Repeatable to 15 credits if content differs.
Special topics course taken as part of an
approved study abroad program.
CCJS 461 Psychology of
Criminal Behavior (3 credits)
Prerequisites: CCJS 105 or equivalent; and
CCJS300; and PSYC330 or PSYC353.
Biological, environmental, and personality
factors which influence criminal behaviors.
Biophysiology and crime, stress and crime,
maladjustment patterns, psychoses, personality
disorders, aggression and violent crime, sex-
motivated crime and sexual deviations, alcohol
and drug abuse, and criminal behavior.
CCJS 498 Selected Topics in
Criminology and Criminal
Justice (3 credits)
Repeatable to 6 credits if content differs.
Topics of special interest to advanced
undergraduates in criminology and criminal
justice. Offered in response to student request
and faculty interest.
CCJS 600 Criminal Justice (3
credits)
Prerequisites: admission to the graduate
program in criminal justice or permission
of department. Formerly CJUS600.
Current concept of criminal justice in
relationship to other concepts in the field.
Historical perspective. Criminal justice and
social control. Operational implications.
Systemic aspects. Issues of evaluation.
CCJS 601 Policing (3 credits)
An introduction to research, theory, and
applications of the causes and consequences of
police behavior. Community policing,
problem- solving methods, police discretion,
police misconduct, police crime prevention
strategies, and restorative justice.
CCJS 602 Courts and
Sentencing (3 credits)
Research and theory on prosecution, plea-
bargaining, sentencing principles and
guidelines, and sentencing policies in practice.
Mandatory minimum sentencing, "three
strikes" laws, race, gender and class
disparities, general and specific deterrent
effects of sentencing, restitution and
restorative justice, diversion and sentencing to
treatment.
CCJS 603 Corrections (3
credits)
An introduction to the research and policy
issues for community-based and institutional
correctional programs, assessment and
screening tools, management of convicted
offenders and institutional overcrowding.
Research on prediction of recidivism,
matching of treatment programs to offenders,
management of correctional institutions and
programs.
CCJS 604 Policy Analysis
Project (3 credits)
An application of statistical and conceptual
tools to criminal justice data in the student's
area of concentration, resulting in a paper
reporting the conceptualization, analytic
methods and results. The topic of the
independent study will be chosen through
individual consultation with the instructor.
CCJS 605 Program Evaluation
for Criminal Justice (3 credits)
Credit will be granted for only one of the
following: CCJS 605 or CCJS 609.
Formerly CCJS609.
Designing, implementing and evaluating
programs in criminal justice. Topics include
diagnosing program needs, planning and
tailoring evaluation programs, program
monitoring, assessing program impact,
program efficiency, and the social context of
evaluation.
CCJS 610 Research Methods in
Criminal Justice and
Criminology (3 credits)
Prerequisite: completion of research
methods and statistics requirements for the
M.A. Degree. Formerly CRIM610.
Examination of special research problems and
techniques.
CCJS 611 Statistical Tools for
Criminal Justice (3 credits)
An introduction to essential statistical concepts
for analyzing crime and evaluating criminal
justice policies. Interpreting crime trends and
correlations, risk and conditional probability
analysis for repeat offenders and hot spots of
crime, time series analysis, experimental
statistics, effect sizes, statistical power and
significance.
CCJS 612 Applied Data Analysis
in Criminal Justice (3 credits)
Requires students to analyze such data as
336
patterns and distributions of criminal careers,
temporal and spatial data on reported crimes,
recidivism rates after correctional programs,
and statistical profiles of offender M.O.
patterns. Data base management,
computerized crime mapping, graphical and
tabular methods for displaying data.
CCJS 620 Fundamentals of
Criminological Research (3
credits)
Credit will be granted for only one of the
following: CCJS498D orCCJS620.
Formerly CCJS498D.
Designed to help criminology students
understand and apply three important
components of statistics: decriptive statistics
(including probability theory), fundamentals of
statistical inference, and regression analysis.
Course assumes familiarity with basic
descriptive statistics. The emphasis of the
classes on descriptive statistics is the
calculation and interpretation of summary
statistical measures for describing raw data.
Covers the basic rules of probability and
different probabilistic processes that could
describe criminal activity. The sessions on
fundamentals of statistical inferences are
designed to provide background for executing
and interpreting hypothesis tests and
confidence intervals. The latter portion of the
course focuses on regession analysis. Uses the
statistical software, Stata.
CCJS 621 General Linear
Models in Criminal Justice
Research (3 credits)
Prerequisite: CCJS620. Credit will be
granted for only one of the following:
CCJS498F or CCJS621. Formerly
CCJS498F.
An in-depth exploration of applied linear
regression analysis. Covers characteristics of
estimates, such as unbiasedness and efficiency.
Encourages fluency with the theoretical issues
involved in the basic linear regression using
simple algebra, familiarity with the general
model using matrix algebra, and fluency with
the computer application of multivariate
regressions and the probit/logit models.
CCJS 630 Seminar in Criminal
Law and Society (3 credits)
Prerequisite: CCJS 230 or equivalent; and a
course in introductory criminology.
Formerly CJUS630.
The criminal law is studied in the context of
general studies in the area of the sociology of
law. The evolution and social and
psychological factors affecting the formulation
and administration of criminal laws are
discussed. Also examined is the impact of
criminal laws and their sanctions on behavior
in the light of recent empirical evidence.
CCJS 635 Minorities and
Criminal Justice (3 credits)
Prerequisite: CCJS 600 or equivalent.
Role minorities play in the criminal justice
system: as victims, offenders and
professionals. Also provides theoretical
framework for examining these roles.
CCJS 651 Seminar in
Criminology (3 credits)
Formerly CRIM651.
Analysis of significant recent issues in
Criminology.
CCJS 652 Seminar in Juvenile
Delinquency (3 credits)
Formerly CRIM652.
Analysis of delinquency and its control.
CCJS 653 Seminar in
Corrections (3 credits)
Prerequisite: CCJS 651 or equivalent.
Formerly CREVI653.
Development, operation and future of
correctional systems.
CCJS 654 History of
Criminological Thought (3
credits)
Prerequisite: CCJS 454 or equivalent.
Formerly CRIM654.
A study of the development of criminological
thought from antiquity to the present.
CCJS 657 Comparative
Criminology and Criminal
Justice Systems (3 credits)
A cross national examination of the meaning
of criminality, formal and informal responses
to crime, and the internalization of crime and
criminal justice.
CCJS 660 Gender and Crime (3
credits)
Assumptions, biases, and relative strengths
and weaknesses of theories of crime as applied
to women. Criminal justice sanctioning of
crimes by and against women. The course will
also explore occupational segregationby
gender in criminal justice professions,
particularly in the fields ofpolicing, courts
(attorneys and judges), and corrections
(correctionalofficers and treatment staff).
CCJS 661 Crime and the Life
Course (3 credits)
Credit will be granted for only one of the
following: CCJS699J or CCJS661.
Formerly CCJS699J.
Designed to provide an intensive examination
of crime and the life course. Life course is
examined as a theoretical orientation, a
research methodology, and an empirical field
of study with special reference to crime and
deviance. Course includes development of
criminal behavior and criminal careers;
stability and change in criminal behavior
across developmental stages; trajectories,
transitions, and turning points through life;
quantitative and qualitative approaches to
studying crime and the life course; and social
change and its link to individual lives.
CCJS 662 Psychology of Crime
and Justice (3 credits)
Credit will be granted for only one of the
following: CCJS699P orCCJS662.
Formerly CCJS699P.
A seminar designed to increase knowledge of
the criminal behavior of adults and juveniles
and what can be done to change this behavior.
Students will be expected to develop a
thorough understanding of the history,
development, operation, effectiveness, and
future of formal efforts to understand and
control criminal behavior.
CCJS 663 Issues in Corporate
Crime (3 credits)
Credit will be granted for only one of the
following: CCJS699S orCCJS663.
Formerly CCJS699S.
Overview of what is known about corporate
crime and criminals (e.g.: similarities to and
differences from other offenders and crime
types; characteristics of offenders and victims;
what counts as corporate crime ; introduction
to theoretical frameworks.) Readings and class
materials will coalesce around specific issues
about which there is much debate but scant
empirical research. Discussions will center
around what is known, what is not, what needs
to be done and how.
CCJS 664 Regulating Vice and
Regulating Organized Crime (3
credits)
Credit will be granted for only one of the
following: CCJS699V orCCJS664.
Formerly CCJS699V.
For this course, vice is defined as a habit with
bad consequences that can generate large
black markets if the market for supplying that
habit is prohibited or heavily regulated. Vice if
found in all modern societies, though in
widely differing forms, depending on
population characteristics, culture and law.
Society's decision is how to regulate it,
whether criminally or otherwise, and how then
to assess whether the regulation is successful.
This assessment has multiple components,
including: choosing outcome measures;
modeling counterfactuals for which the
evidence is often very indirect and developing
a framework for ensuring that comparisons
reflect all relevant outcomes and values.
CCJS 665 Professional
Development (3 credits)
Credit will be granted for only one of the
following: CCJS699D orCCJS665.
Formerly CCJS699D.
A seminar designed for students who are
planning to complete their Ph.D. in
Criminology and Criminal Justice. Focus on
issues important to consider during one's
career. Discussions and activities will include
topics such as: planning one's graduate career,
resumes, planning a professional career,
employment opportunities, interviewing for
jobs, teaching, publishing and reviewing
manuscripts, grants and proposals, research,
achieving tenure.
CCJS 667 Innovations in
Policing: Programs, Policies
and Research (3 credits)
Credit will be granted for only one of the
following: CCJS667 or CCJS699W.
Formerly CCJS699W.
Examine the factors that have led to recent
police innovations and recent innovations in
the study ofpolicing. Critically explores the
effects of such policies on crime and disorder,
on research practices, as well as unintended
consequences on community, police abuse and
police organization. Which policies have been
found to be effective? What types of practices
work most effectively for what type of crime
and disorder problems? Has there been
sufficient research for us to come to solid
conclusions regarding these questions? Does
present research fit the practices of the police?
CCJS 670 Race, Crime, and
Criminal Justice (3 credits)
Provides an historical overview of the
operation and evolution of the criminal justice
system and the impact of race. How race
affects definitions of crime and criminality, the
workings of the criminal justice system, the
development of criminological theory, and the
role of criminal justice ethics in the study of
race and crime will be considered.
CCJS 678 Advanced Topics in
Criminology and Criminal
Justice (3 credits)
Prerequisite: CCJS600. Repeatable to 12
337
credits if content differs.
An analysis of contemporary issues in
criminology and criminal justice with special
emphasis on research and theory
developments.
CCJS 680 Drugs and Crime (3
credits)
The relationship between drug use and crime.
Policy concerning drug control enforcement,
prosecution and sentencing, impact of drug
treatment in criminal justice Impact of drug
treatment in criminal justice settings, drug
courts, drug-testing strategies and surveillance
of former drug abusers in the community.
CCJS 699 Special
Criminological Problems (1-3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits. Formerly
CJUS699.
Supervised study of a selected problem in the
field of criminal justice.
CCJS 710 Advanced Research
Methods in Criminology (3
credits)
Prerequisite: approved doctoral level
statistics course. Formerly CRIM710.
Application of advanced research methods and
data analysis strategies to criminological and
criminal justice problems.
CCJS 711 Randomized
Experiments in Criminology and
Criminal Justice (3 credits)
Constrast randomized designs with other
approaches, examining both statistical,
methodological, ethical and practical concerns.
What are the statistical advantages of
randomized experimental designs? Why do
some researchers believe that randomized
studies violate ethical standards in criminal
justice? Why are experiments considered to
have higher internal validity than non-
randomized designs and how do different
types of designs compare in terms of external
validity? Focus on how experiments can be
developed and how they are analyzed. What
are the practical barriers to experimentation
and how can they be overcome? What
statistical methods are most appropriate for
experimental analysis? How can block
randomization or hierarchical modeling be
used to develop more powerful or more
practical research approaches?
CCJS 712 Longitudinal Data
Analysis with Latent Variables
(3 credits)
Credit will be granted for only one of the
following: CCJS699F or CCJS712.
Formerly CCJS699F.
This course is designed for graduate students
with an interest in the use of latent variables in
longitudinal data analysis as it is
conceptualized in the Mplus framework. This
course explores more general features of latent
variable analyses as they are related to
longitudinal modeling. Topics to be covered
include latent growth analysis with a
combination of continuous and categorical
latent variables as well as the inclusion of
continuous and categorical variables as
predictors and outcomes.
CCJS 720 Criminal Justice
System Planning: Policy
Analysis for Crime Control (3
credits)
Prerequisites: one course in criminal justice
and one course in research methodology.
Formerly CJUS720.
System theory and method; examination of
planning methods and models based primarily
on a systems approach to the operations of the
criminal justice system.
CCJS 799 Master's Thesis
Research (1-6 credits)
Formerly CRIM799.
CCJS 898 Pre-Candidacy
Research (1-8 credits)
CCJS 899 Doctoral Dissertation
Research (1-8 credits)
Formerly CRIM899.
Doctoral dissertation research in criminal
justice and criminology.
Chemistry (CHEM)
CHEM 401 Inorganic Chemistry
(3 credits)
Prerequisite: {CHEM241 andCHEM242}
or CHEM243 or CHEM247.
An overview of basic concepts of the
electronic structure of the elements, chemical
bonding and reactivity, from simple diatomic
molecules to coordination compounds. These
are viewed from simple (Lewis) to the most
comprehensive molecular orbital theory.
Symmetry and group theory are used
throughout the course.
CHEM 403 Radiochemistry (3
credits)
Prerequisite: one year of college chemistry
and one year of college physics.
Radioactive decay; introduction to properties
of atomic nuclei; nuclear processes in
cosmology; chemical, biomedical and
environmental applications of radioactivity;
nuclear processes as chemical tools;
interaction of radiation with matter.
CHEM 425 Instrumental
Methods of Analysis (4 credits)
Two hours of lecture and six hours of
laboratory per week. Prerequisite:
{CHEM153 orCHEM227} or {CHEM271
and CHEM272} or {CHEM276 and
CHEM277}.
Modern instrumentation in analytical
chemistry. Electronics, spectroscopy,
chromatography and electrochemistry.
CHEM 441 Advanced Organic
Chemistry (3 credits)
Prerequisite: CHEM481. Also offered as
CHEM641.
An advanced study of the compounds of
carbon, with special emphasis on molecular
orbital theory and organic reaction
mechanisms.
CHEM 450 Ethics in Science and
Engineering (3 credits)
Prerequisite: 8 credits laboratory science or
permission of department.
Ethical issues in science and their resolutions.
Topics will be ethics and scientific truth,
ethics and other scientists, and ethics and
society.
CHEM 460 Structure
Determination Using
Spectroscopic Methods (3
credits)
Prerequisite: {CHEM243 orCHEM247} or
{CHEM241 orCHEM242}. Formerly
CHEM660.
The use of infrared, ultraviolet-visible, proton
and carbon- 13 nuclear magnetic resonance and
mass spectroscopy for structure determination
in organic chemistry.
CHEM 471 Techniques in Pulse
NMR (1 credits)
Prerequisite: CHEM241/CHEM242 or
CHEM247. Recommended: CHEM460.
Persons with heart pacemakers and/or
metal implants cannot take the course due
to potential health hazards. For Senior
undergraduate and graduate students.
NMR techniques to operate, adjust, and
calibrate the spectrometers and acquire and
process NMR data in one and two dimensional
NMR applications.
CHEM 474 Environmental
Chemistry (3 credits)
Prerequisite: CHEM481 or equivalent.
The sources of various elements and chemical
reactions between them in the atmosphere and
hydrosphere are treated. Causes and biological
effects of air and water pollution by certain
elements are discussed.
CHEM 481 Physical Chemistry I
(3 credits)
Prerequisite: A grade of C or better in
CHEM1 13, CHEM135, or CHEM153, or
(CHEM271 and CHEM272), or
(CHEM276 and CHEM277); and
MATH141 and PHYS142. The "C" or
better in prerequisites is required for Life
Science majors.
A course primarily for chemists and chemical
engineers.
CHEM 482 Physical Chemistry II
(3 credits)
Prerequisite: A Grade of C or better in
CHEM481. The "C" or better is required
for Life Science majors.
A course primarily for chemists and chemical
engineers.
CHEM 483 Physical Chemistry
Laboratory I (2 credits)
Corequisite:CHEM481.
An introduction to the principles and
application of quantitative techniques in
physical chemical measurements. Experiments
will be coordinated with topics in CHEM481.
CHEM 484 Physical Chemistry
Laboratory II (2 credits)
Prerequisite: CHEM481 and CHEM483.
Corequisite: CHEM482.
A continuation of CHEM 483. Advanced
quantitative techniques necessary in physical
chemical measurements. Experiments will be
coordinated with topics in CHEM 482.
CHEM 498 Special Topics in
Chemistry (3 credits)
Prerequisite varies with the nature of the
topic being considered. Course may be
repeated for credit if the subject matter is
substantially different, but not more than
three credits may be accepted in
satisfaction of major supporting area
requirements for chemistry majors.
CHEM 503 Physical Science for
Elementary/Middle School
Teachers III (4 credits)
Three hours of lecture, three hours of
laboratory, and one hour of
discussion/recitation per week.
338
A second-level survey of major chemistry
concepts, with emphasis on the properties and
behavior of common substances. Types of
chemical reactions, the relationship between
molecular structure and reactivity, periodicity,
oxidation-reduction, acids and bases,
equilibrium, and practical applications of
chemistry. The laboratory portion of the
course supports skills/understandings needed
to prepare teachers for this aspect of physical
science education.
CHEM 504 Fundamentals of
Organic Chemistry and
Biochemistry (4 credits)
Prerequisite: CHEM 503 or equivalent.
A one-semester survey of organic chemistry
and biochemistry. The chemistry of carbon:
aliphatic compounds, aromatic compounds,
stereochemistry, halides, amines, amides,
acids, esters, carbohydrates, and natural
products. The laboratory experiments deal
with synthetic and analytical organic activities.
CHEM 513 Principles of
Chemistry II (4 credits)
Prerequisite: CHEM 503 or equivalent.
A continuation of the advanced survey of
topics started in CHEM 503. Kinetics,
thermodynamics, ionic equilibria, oxidation-
reduction, electrochemistry, and the chemistry
of common metals and nonmetals.
Quantitative problem solving. Laboratory
experiments, mostly quantitative in nature,
support the topics developed in the lectures.
CHEM 521 Quantitative Analysis
(4 credits)
Prerequisite: CHEM 1 15 or equivalent.
Volumetric, gravimetric, electrometric and
colorimetric methods in analytical inorganic
chemistry.
CHEM 601 Structure and
Bonding of Molecules and
Materials (3 credits)
Development of the tools necessary to use the
knowledge of structure and bonding of
molecules and solids in the practice of
synthetic inorganic and materials chemistry.
Several bonding models are covered, from the
simple valence bond and ligand field models
to a quantitative group theoretical treatment of
molecular orbital theory and band structure
descriptions of solids. Concepts of electron
counting and oxidation state and ligand
characteristics are revisited in terms of the
more sophisticated bonding models. Finally,
these models are used to analyze the reactivity,
magnetic and spectroscopic properties of
inorganic coordination compounds. Prior
advanced inorganic and/or advanced quantum
chemistry courses are not prerequisites.
CHEM 602 Advanced Inorganic
Chemistry II (3 credits)
Prerequisite: CHEM 601 or permission of
instructor,
A continuation of CHEM 601 with emphasis
on the application of contemporary
spectroscopic techniques to inorganic
problems.
CHEM 608 Selected Topics in
Inorganic Chemistry (1-3
credits)
Prerequisite: CHEM 601 and CHEM 602,
or equivalent. Repeatable to 6 credits if
content differs.
Topics of special interest and current
importance.
CHEM 611 Professional Skills
for New Graduate Students (1
credits)
Restricted to New Chemistry and
Biochemistry graduate students.
Covers a wide range of topics in professional
development for new graduate students.
CHEM 612 Scientific
Presentations (1 credits)
Prerequisite: CHEM611.
Workshops will cover all aspects of giving
scientific presentations. Each student will give
a presentation based oon the topic of his/her
final paper in CHEM61 1. Presentations will be
critiqued by peers and faculty members.
CHEM 623 Optical Methods of
Quantitative Analysis (3 credits)
Prerequisites: CHEM 421 and CHEM 482
or equivalent.
The quantitative applications of various
methods of optical spectroscopy.
CHEM 624 Electrical Methods of
Quantitative Analysis (3 credits)
Prerequisites: CHEM 421 and CHEM 482
or equivalent.
The use of conductivity, potentiometry,
polarography, voltammetry, amperometry,
coulometry, and chronopotentiometry in
quantitative analysis.
CHEM 625 Separation Methods
in Quantitative Analysis (3
credits)
Prerequisites: CHEM421 and CHEM482 or
equivalent. Also offered as BCHM635.
The theory and application for quantitative
analysis of various forms of chromatography,
ion exchange, solvent extraction, distillation,
and mass spectroscopy.
CHEM 640 Problems in Organic
Reaction Mechanisms (1
credits)
A tutorial type course dealing with the basic
description of the fundamentals of writing
organic reaction mechanisms.
CHEM 641 Organic Reaction
Mechanisms (3 credits)
Also offered as CHEM441.
CHEM 647 Organic Synthesis (3
credits)
The use of new reagents in organic reactions;
multistep syntheses leading to natural products
of biological interest; stereospecific and
regiospecific reactions and their use in total
synthesis.
CHEM 648 Special Topics in
Organic Chemistry (1-3 credits)
per week. Repeatable to 9 credits if content
differs.
Topics of special interest and current
importance.
CHEM 650 Problems in Organic
Synthesis (1 credits)
A tutorial type course dealing with
mechanistic problems from the current
literature of organic sysnthesis.
CHEM 678 Special Topics in
Environmental Chemistry (3
credits)
Prerequisite: CHEM 474. Repeatable to 6
credits if content differs .
In-depth treatment of environmental chemistry
problem areas of current research interest. The
topics will vary somewhat from year to year.
CHEM 682 Chemical Kinetics
and Dynamics (3 credits)
Prerequisite: CHEM482 or permission of
instructor. Restricted to graduate students
or advanced undergraduates with
prerequisites.
The dynamics of atoms and molecules as they
undergo chemical and physical change. Topics
will be developed from a fundamental
perspective and modern applications will be
discussed. Topics include: chemical kinetics
rate equations, atomic and molecular
collisions; rate theories; atomic, molecular and
chemical lasers; control of matter with light.
CHEM 684 Chemical
Thermodynamics (3 credits)
Prerequisite: CHEM 482 or equivalent.
CHEM 687 Statistical Mechanics
and Chemistry (3 credits)
Prerequisite: CHEM 684 or equivalent.
CHEM 688 Selected Topics in
Physical Chemistry (2 credits)
Repeatable to 6 credits if content differs.
CHEM 689 Special Topics in
Physical Chemistry (3 credits)
Repeatable to 9 credits if content differs.
CHEM 690 Quantum Chemistry I
(3 credits)
Prerequisite: CHEM 485.
CHEM 691 Quantum Chemistry
II (3 credits)
Prerequisite: CHEM 690 or PHYS 622.
CHEM 698 Literature Seminar in
Chemistry (1 credits)
For CHEM majors only.
Students will prepare and present a
departmental seminar baseed on a topic in the
current research literature.
CHEM 699 Special Problems in
Chemistry (1-6 credits)
Prerequisite: one semester of graduate
study in chemistry. Restricted to students in
the non-thesis M.S. option. Repeatable to 6
credits.
Laboratory experience in a research
environment.
CHEM 701 Teaching Chemistry
(1 credits)
For LFSC graduate students only.
Introduction to instructional methods and
strategies, University and College policies, and
campus resources for new LFSC graduate
teaching assistants.
CHEM 705 Nuclear Chemistry (3
credits)
Nuclear structure models, radioactive decay
processes, nuclear reactions in complex nuclei,
fission, nucleosynthesis and nuclear particle
accelerators.
CHEM 729 Special Topics in
Geochemistry (1-3 credits)
Repeatable to 6 credits if content differs.
A discussion of current research problems.
CHEM 799 Master's Thesis
Research (1-6 credits)
CHEM 889 Seminar (1 credits)
CHEM 898 Pre-Candidacy
Research (1-8 credits)
339
CHEM 899 Doctoral Dissertation
Research (1-8 credits)
Chinese (CHIN)
CHIN 401 Readings in Modern
Chinese I (3 credits)
Prerequisite: CHIN302 or equivalent. Non-
majors admitted only after a placement
interview.
Readings in history, politics, economics,
sociology, and literature. Emphasis on wide-
ranging, rapid reading, reinforced by
conversations and compositions.
CHIN 402 Readings in Modern
Chinese II (3 credits)
Prerequisite: CHIN401 or equivalent. Non-
majors admitted only after a placement
interview.
Continuation of CHIN401.
CHIN 408 Selected Readings in
Classical Chinese (3 credits)
Prerequisites: CHIN321 or CHIN403 at
UMCP or pass a placement test offered by
the Chinese Program; and must know
Pinyin. Students who do not know Pinyin
must learn it before the end of the first
week of classes or they will be required to
drop. Repeatable to 9 credits if content
differs.
Selected readings in Classical Chinese,
including important representative works of
history, poetry, and parallel prose. Close
attention is paid to matters of grammar and
phonology in the readings. Content will differ
each time this course is offered.
CHIN 411 Business Chinese I (3
credits)
Prerequisite: CHIN402 or permission of
department. Non-majors admitted only
after a placement interview. Not open to
students who have completed CHIN303.
Conversation, reading, and writing applicable
to Chinese business transactions, social
meetings, and meetings with government
organizations, plus background material in
English on professional business practices and
social customs associated with business.
CHIN 412 Business Chinese II (3
credits)
Prerequisite: CHIN411 or permission of
department. Non-majors admitted only
after a placement interview. Not open to
students who have completed CHIN304.
Continuation of CHIN41 1.
CHIN 415 Readings in Current
Newspapers and Periodicals (3
credits)
Prerequisite: CHIN402 or equivalent. Non-
majors admitted only after a placement
interview.
Reading of periodical literature on selected
topics with discussions and essays in Chinese.
CHIN 418 Special Topics in
Contemporary Chinese Fiction
and Film (3 credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
Various approaches to the most recent textual
productions of China and Taiwan. Taught in
Chinese.
CHIN 421 Sounds and
Transcriptions of Mandarin
Chinese (3 credits)
Production and recognition of Mandarin
speech sounds and tones, their phonological
patterns, comparison with English, and
representation by the various Romanization
systems.
CHIN 422 Advanced Chinese
Grammar (3 credits)
Prerequisite: CHIN302, CHIN322, or
permission of department.
Chinese sentence patterns studied contrasted
with English and in terms of current
pedagogical as well as linguistic theories.
CHIN 423 Chinese Historical and
Dialect Phonology (3 credits)
Prerequisite: CHIN302 or JAPN405.
Formerly CHIN428B.
The history and structure of the sounds of
Chinese language, with emphasis on the
Medieval formal phonological system and its
relationship to Mandarin and other modern
languages. Students are expected to have
advanced knowledge of written Chinese
graphs (may include Japanese kanji or Korean
hanja).
CHIN 424 Linguistics of the
Chinese Writing System (3
credits)
Prerequisite: CHIN302 or JAPN405.
Recommended: CHIN423. Also offered as
SLAA798A. Formerly CHIN428A.
The history and structure of the Chinese
writing system, with emphasis on its early
development and place in the cognition of
language. Students are expected to have
advanced knowledge of written Chinese
graphs (may include Japanese kanji or Korean
hanja).
CHIN 428 Selected Topics in
Chinese Linguistics (3 credits)
Prerequisite: permission of department.
Sophomore standing. Repeatable to 12
credits if content differs.
Undergraduate seminar in Chinese linguistics.
Topics may include the ancient writing
system, historical phonology, dialectology,
prosody and rhyming, grammar and the
history of the language as a whole. This course
may be repeated with different content, and
satisfies the linguistics requirement for the
Chinese major. Students are expected to be in
at least Third Year Chinese. Taught in English.
CHIN 432 Translation and
Interpretation II (3 credits)
Prerequisite: CHIN402 or equivalent and
permission of department.
Workshop on Chinese/English translation and
interpretation, with emphasis on seminar
(consecutive) interpretation and introduction
to conference (simultaneous) interpretation.
CHIN 441 Traditional Chinese
Fiction (3 credits)
Prerequisite: permission of department.
Major works of fiction from the 4th century
tales of the marvelous through the 19th
century Ching novel. Taught in Chinese.
CHIN 442 Modern Chinese
Fiction (3 credits)
Prerequisite: permission of department.
Examination, through selected texts, of the
writer's role as shaper and reflector of the
Republican and Communist revolutions.
Taught in Chinese.
CHIN 499 Directed Study in
Chinese (1-3 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits if content differs.
Readings in Chinese under faculty
supervision.
CHIN 601 The Language of
Contemporary Chinese Written
Media (3 credits)
Prerequisite: Departmental placement test
required prior to registration.
Non-fiction Chinese writing, with emphasis on
contextually and culturally appropriate
interpretation of lexicon, style, and idiom in
various genres. Conducted entirely in Chinese,
intended for non-native speakers of the
language pursuing professional levels of
competence.
CHIN 602 The Language of
Contemporary Chinese Audio
Media (3 credits)
Prerequisite: Departmental placement test
required prior to registration.
Oral Chinese non-fiction in the high diglossic
register, with emphasis on contextually and
culturally appropriate inteipretation of lexicon,
style, and idiom. Conducted entirely in
Chinese, intended for non-native speakers of
the language pursuing professional levels of
competence.
CHIN 603 The Language of
Contemporary Chinese Written
Fiction (3 credits)
Prerequisite: Departmental placement test
required prior to registration.
Chinese fiction writing, with emphasis on
contextually and culturally appropriate
inteipretation of lexicon, style, and idiom in
various genres. Conducted entirely in Chinese;
intended for non-native speakers of the
language pursuing professional levels of
competence.
CHIN 604 The Language of
Contemporary Chinese Audio
Fiction (3 credits)
Prerequisite: Departmental placement test
required prior to registration.
Oral Chinese fiction in the high diglossic
register, with emphasis on contextually and
culturally appropriate interpretation of lexicon,
style, and idiom. Students analyze current
materials from Mandarin-language radio
drama, etc. Conducted entirely in Chinese,
intended for non-native speakers of the
language pursuing professional levels of
competence.
CHIN 611 Structure of the
Chinese Language (3 credits)
An overview of the basic linguistic
characteristics of modern standard (Mandarin)
Chinese, including phonology, morphology
and syntax. Emphasis on the analysis of
functional linguistic models and the
development of student skills in critically
appraising existing interpretations of Chinese
language structure.
CHIN 621 Chinese Historical
Linguistics (3 credits)
An introduction to the origin and development
of the Chinese language, and its relationship to
other languages.
Chemical Physics
(CHPH)
CHPH 612 Molecular Structure
and Kinetics (3 credits)
340
Prerequisite: permission of instructor.
Molecular structure, atomic and molecular
collisions and chemical kinetics including
experimental techniques.
CHPH 618 Special Projects in
Chemical Physics (1-3 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits.
Independent reading and study covering
chemical physics subject areas not available in
other courses.
CHPH 709 Seminar in Chemical
Physics (1 credits)
Current research and developments in
chemical physics.
CHPH 718 Special Topics in
Chemical Physics (1-3 credits)
Repeatable if content differs with
permission of department.
A discussion of current research problems in
chemical physics.
CHPH 799 Master's Thesis
Research (1-6 credits)
CHPH 898 Pre-Candidacy
Research (1-8 credits)
CHPH 899 Doctoral Dissertation
Research (1-8 credits)
Classics (CLAS)
CLAS 419 The Classical
Tradition (3 credits)
Two hours of lecture and one hour of
discussion/recitation per week. Repeatable
to 9 credits if content differs. Credit will be
granted for only one of the following:
CLAS419 or CLAS420. Formerly
CLAS420.
Examination of the role of Greek and Roman
civilization in shaping the arts and ideas of
western culture.
CLAS 470 Approaches to Greek
Mythology (3 credits)
Prerequisite: CLAS 170 or permission of
department.
Ancient and modern approaches to
understanding Greek myth as expression of
human experience, including interpretations
drawn from psychology, anthropology, and
comparative mythology.
CLAS 488 Independent Study in
Classical Civilization (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
CLAS 495 Senior Thesis in
Classics (3 credits)
Prerequisite: permission of department.
Prior departmental approval of research topic
is required. Available to all students who wish
to pursue a specific research topic.
CLAS 499 Independent Study in
Classical Languages and
Literatures (1-3 credits)
Prerequisite: permission of department.
CLAS 621 The Classical
Tradition (3 credits)
The role the classics have played in western
thought, with particular attention to literature.
CLAS 688 Special Topics in
Classical Civilization (3 credits)
Repeatable to 9 credits if content differs.
CLAS 699 Independent Study in
Classical Civilization (1-3
credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits if content differs.
CLAS 799 Master's Thesis
Research (1-6 credits)
College of Chemical
and Life Sciences
(CLFS)
CLFS 510 Concepts of Modern
Biology (3 credits)
Prerequisite: permission of department.
Corequisite: Open to high school and
middle school teachers.
Discussion of recent advancements in the
biological sciences. Includes in depth
treatment of the background information
responsible for the advancements. Not
acceptable for credit towards a degree.
CLFS 608 Seminar in Current
Topics in Chemical and Life
Science (1-3 credits)
Prerequisite: LFSC 510, two years teaching
experience, and permission of department.
For Masters of LFSC majors only.
Repeatable to 6 credits if content differs.
Formerly LFSC608.
Seminar in current topics in the Life Sciences.
Contact Program Director or instructor before
registering.
CLFS 609 Special Topics (1-3
credits)
Prerequisite: LFSC 510, two years teaching
experience, and permission of department.
For Masters of LFSC majors only.
Repeatable to 6 credits if content differs.
Formerly LFSC609.
Individual instruction course. Contact Program
Director or instructor before registering.
CLFS 610 Natural Products
Chemistry (3 credits)
Prerequisite: Permission of program. Credit
will be granted for only one of the
following: LFSC609D or LFSC610.
Formerly LFSC609D.
Foundations of natural products chemistry;
how nature goes about making
(biosynthesizing) these compounds and
elements of enzymology and genomics
relevant to production of these compounds;
relevance of natural products chemistry as a
driving force for drug discovery and
innovation in biotechnology.
CLFS 619 Special Topics in
Chemistry (1-3 credits)
Repeatable to 09 credits if content differs.
Formerly LFSC619.
Topics of current interest and special
importance.
CLFS 620 Modern Molecular
Genetics (3 credits)
An overview of genetics including the genetic
basis/components in prevalent diseases,
genetically engineered organisms and foods,
the importance of knowing the complete DNA
sequence of organisms.
CLFS 630 Principles of
Transmission Genetics: A
Historical and Modern
Perspective (3 credits)
Examines the origins of modern genetics,
model genetic systems, and the role of
chromosomes in vertical transmission of
genetic information from parent to offspring.
Classical gene mapping, population genetics
and the various applications of modern
genetics will also be studied.
CLFS 640 Human Physiology (3
credits)
Prerequisite: LFSC 510, two years teaching
experience, and permission of department.
For Masters of LFSC majors only.
Examination of the major organ system of the
human body and of the neural and hormonal
mechanisms responsible for their regulation
and control.
CLFS 655 The Chemistry and
Applications of Electrochemical
Cells (3 credits)
Chemistry of electrochemical cells including
the thermodynamic basis for the production of
electrical energy by cells, the chemical
reactions utilized by the most common cells,
the manufacture of cells, and the application of
cells in energy production.
CLFS 660 Biodiversity and
Conservation Biology (3
credits)
Application of ecological and evolutionary
principles to assess the impact of the human
species on the environment and its inhabitants.
Specific case studies are included to illustrate
problems of bidiversityloss and actions
required to reverse the trends.
CLFS 665 Ecology and Global
Change (3 credits)
Credit will be granted for only one of the
following: LFSC 609C or LFSC 665.
Formerly LFSC609C.
Ecological concepts across scales ranging
from the individual, to populations,
communities, ecosystems, and landscapes will
be presented. Global change issues will
encompass alteration of atmospheric trace
gases, biogeochemistry cycles, land use
changes, and introduction of non-native
species to new habitats.
CLFS 680 Chemical Ecology (3
credits)
An examination of the utilization of organic
natural products by plants and animals for
various life processes. Examples will include
how materials are utilized for sexual selection,
defense against predators, sexual attractants,
and as natural herbicides and repellants.
CLFS 690 Biochemistry (3
credits)
An advanced overview of general
biochemistry including a study of protein
structure and their physical properties; how
these properties relate to catalysis, regulation
of catalysis and metabolic chemistry with
respect to their relationship to physiological
conditions.
CLFS 710 Experimental Biology
(6 credits)
Three hours of lecture, 10 hours of
laboratory, and one hour of
discussion/recitation per week. Formerly
LFSC710.
Participants develop skills in four areas of
biological research while investigating a
variety of biological systems. Those areas
include: (1) iterative scientific methods, (2)
basic laboratory techniques, (3) experimental
design and analysis, and (4) critical evaluation
of published research.
341
CLFS 725 Experimental Design
(2 credits)
Credit will be granted for only one of the
following: LFSC 710, LFSC 719 or LFSC
725. Formerly LFSC719.
Experimental design and statistics for science
teachers that emphasizes the underlying
structure of data and how this affects the
quality and reliability of experiments.
Examines the nature of data, the methods for
designing rigorous experiments, important
experimental design formats, and the
relationships between data structure and
analysis. Course work focuses on the design
and analysis of orginal experiments for a series
of research problems.
Comparative
Literature (CMLT)
CMLT 415 The Hebrew Bible (3
credits)
A study of sources, development and literary
types.
CMLT 469 The Continental
Novel (3 credits)
The novel in translation from Stendhal through
the existentialists, selected from literatures of
France, Germany, Italy, Russia, and Spain.
CMLT 479 Major Contemporary
Authors (3 credits)
CMLT 488 Genres (3 credits)
Repeatable to 6 credits if content differs.
A study of a recognized literary form, such as
tragedy, film, satire, literary criticism,
comedy, tragicomedy, etc.
CMLT 489 Major Writers (3
credits)
Each semester two major writers from
different cultures and languages will be
studied. Authors will be chosen on the basis of
significant relationships of cultural and
aesthetic contexts, analogies between their
respective works, and the importance of each
writer to his literary tradition.
CMLT 498 Selected Topics in
Comparative Studies (3 credits)
CMLT 600 Introduction to
Critical Theory (3 credits)
Prerequisite: permission of department.
Introduction to the history of critical theory, its
place in contemporary textual and cultural
studies, and several theoretical schools of
current significance.
CMLT 601 Problems in
Comparative Studies (3 credits)
Prerequisite: permission of department.
CMLT 639 Studies in the
Renaissance (3 credits)
Repeatable to 9 credits.
CMLT 649 Studies in Eighteenth
Century Literature (3 credits)
Repeatable to 9 credits.
Studies in eighteenth century literature: as
announced.
CMLT 658 Studies in
Romanticism (3 credits)
Repeatable to 9 credits.
Studies in romanticism: as announced.
CMLT 679 Topics in
Comparative Studies (3 credits)
Repeatable to 9 credits.
Seminar in modern and contemporary
literature: as announced.
CMLT 699 Independent Study (1-
6 credits)
Prerequisite: permission of instructor.
Repeatable to 9 credits if content differs.
Research and writing on specific readings on a
topic selected by the student which is
approved and supervised by a faculty member.
CMLT 701 Paradigms of Theory
(3 credits)
Prerequisite: an introductory course in
critical theory. Also offered as ENGL 701.
Credit will be granted for only one of the
following: CMLT 701 or ENGL 701.
Exploration of the works of four or five major
critical thinkers who underwrite the study of
theory in the academy today, with special
attention to the diversity within critical theory.
CMLT 702 Cultures of Theory (3
credits)
Prerequisite: an introductory course in
critical theory. Also offered as ENGL 702.
Credit will be granted for only one of the
following: CMLT 702 or ENGL 702.
An exploration of the socio-historic, material,
and cultural contexts of various theoretical
practices and traditions.
CMLT 788 Practicum in
Comparative Studies (1-6
credits)
Prerequisite: permission of department.
Repeatable to 20 credits if content differs.
Practical professional training for individuals
and groups of students in supervised settings.
CMLT 798 Critical Theory
Colloquium (1 credits)
Prerequisites: CMLT 701 and CMLT 702
or permission of instructor. Repeatable to 6
credits if content differs.
An intensive advanced exploration of current
problems and issues in critical theory.
CMLT 799 Master's Thesis
Research (1-6 credits)
CMLT 898 Pre-Candidacy
Research (1-8 credits)
CMLT 899 Doctoral Dissertation
Research (1-8 credits)
Computer,
Mathematical and
Physical Sciences
(CMPS)
CMPS 496 NASA Academy (4
credits)
Two hours of lecture and four hours of
laboratory per week. Prerequisite: College
Permission. Junior standing. Also offered
as ENES496 or GEOG496. Credit will be
granted for only one of the following:
CMPS496, ENES496 or GEOG496.
A ten-week resident summer institute at
Goddard Space Flight Center for juniors,
seniors and first-year graduate students
interested in pursuing professional and
leadership careers in aerospace-related fields.
The national program includes research in a
Goddard laboratory, field trips to NASA
centers, and a combination of lectures and
workshops on the mission, current activities
and management of NASA. Students
interested in the Academy will find
information at http://www.nasa-
academy.nasa.gov Application should be made
by the end of January; sponsorship by an
affiliated State Space Grant Consortium is
customary, but not required.
CMPS 497 Experiential Learning
(1-3 credits)
Prerequisite: Permission of CMPS
Department. For CMPS majors only.
This course is part of the experiential learning
internship program, Corporate Scholars, set up
by the college and industry. It offers students
an opportunity to gain practical experience in
their chosen career fields. Fall semester only.
CMPS 618 Introduction to Earth
System Science (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
A graduate seminar to introduce students to
interdisciplinary concepts of earth system
science. Interactions among the atmosphere,
oceans, biosphere, solid earth and humans.
CMPS 628 Problems in Earth
System Science (3 credits)
Prerequisite: CMPS618 or permission of
department. Repeatable to 06 credits if
content differs.
A graduate seminar focusing on methods to
study the earth system. Interdisciplinary focus
on research studying interactions among the
atmosphere, oceans, biosphere, solid earth and
humans.
Computer Science
(CMSC)
CMSC411 Computer Systems
Architecture (3 credits)
Prerequisite: A grade of C or better in
(CMSC3 1 1 or ENEE350) and a grade of C
or better in CMSC330; and permission of
department; or CMSC graduate student.
Input/output processors and techniques. Intra-
system communication, buses, caches.
Addressing and memory hierarchies.
Microprogramming, parallelism, and
pipelining.
CMSC 412 Operating Systems (4
credits)
Three hours of lecture and two hours of
laboratory per week. Prerequisites: A grade
of Cor better in {CMSC3 11 orENEE350)
and a grade of C or better in CMSC330;
and permission of department; or CMSC
graduate student.
An introduction to batch systems, spooling
systems, and third-generation
multiprogramming systems. Description of the
parts of an operating system in terms of
function, structure, and implementation. Basic
resource allocation policies.
CMSC 414 Computer and
Network Security (3 credits)
Prerequisite: CMSC216 and CMSC330
with a grade of C or better in each; and
permission of department; or CMSC
graduate student.
An introduction to the topic of security in the
context of computer systems and networks.
Identify, analyze, and solve net work- related
security problems in computer systems.
Fundamentals of number theory,
authentication, and encryption technologies, as
well as the practical problems that have to be
342
solved in order to make those technologies
workable in a networked environment,
particularly in the wide-area Internet
environment.
CMSC 417 Computer Networks
(3 credits)
Prerequisite: CMSC330 and CMSC351
with a grade of C or better in each; and
permission of department; or CMSC
graduate student.
Computer networks and architectures. The
OSI model including discussion and examples
of various network layers. A general
introduction to existing network protocols.
Communication protocol specification,
analysis, and testing.
CMSC 420 Data Structures (3
credits)
Prerequisites: A grade of C or better in
CMSC330 and in CMSC351; and
permission of department; or CMSC
graduate student.
Description, properties, and storage allocation
of data structures including lists and trees.
Algorithms for manipulating structures.
Applications from areas such as data
processing, information retrieval, symbol
manipulation, and operating systems.
CMSC 421 Introduction to
Artificial Intelligence (3 credits)
Prerequisites: A grade of C or better in
CMSC330 and in CMSC351; and
permission of the department or CMSC
graduate student.
Areas and issues in artificial intelligence,
including search, inference, knowledge
representation, learning, vision, natural
languages, expert systems, robotics.
Implementation and application of
programming languages (e.g. LISP, PROLOG,
SMALLTALK), programming techniques
(e.g. pattern matching, discrimination
networks) and control structures (e.g. agendas,
data dependencies).
CMSC 422 Introductions to
Machine Learning (3 credits)
Prerequisite: CMSC330 and CMSC351
with a grade of C or higher.
Recommended: STAT400.
Machine Learning studies representations and
algorithms that allow machines to improve
their performance on a task from experience.
This is a broad overview of existing methods
for machine learning and an introduction to
adaptive systems in general. Emphasis is given
to practical aspects of machine learning and
data mining.
CMSC 423 Bioinformatic
Algorithms, Databases, and
Tools (3 credits)
Prerequisite: CMSC351 with a grade of C
or better; or permission of department.
An introduction to the main algorithms,
databases, and tools used in bioinformatic s.
Topics may include assembly and analysis of
genome sequences, reconstructing
evolutionary histories, predicting protein
structure, and clustering of biological data.
Use of scripting languages to perform analysis
tasks on biological data. No prior knowledge
of biology is assumed.
CMSC 424 Database Design (3
credits)
Prerequisite: CMSC330 and CMSC351
with a grade of C or better in each; and
permission of department; or CMSC
graduate student.
Students are introduced to database systems
and motivates the database approach as a
mechanism for modeling the real world. An
in-depth coverage of the relational model,
logical database design, query languages, and
other database concepts including query
optimization, concurrency control; transaction
management, and log based crash recovery.
Distributed and Web database architectures are
also discussed.
CMSC 425 Game Programming
(3 credits)
Prerequisite: CMSC420 with a grade of C
or better. Corequisite: CMSC427.
An introduction to the principles and practice
of computer game programming and design.
This includes an introduction to game
hardware and systems, the principles of game
design, object and terrain modeling, game
physics, artificial intelligence for games,
networking for games, rendering and
animation, and aural rendering. Course topics
are reinforced through the design and
implementation of a working computer game.
CMSC 426 Image Processing (3
credits)
Prerequisite: CMSC 420 and permission of
department; or CMSC graduate student.
An introduction to basic techniques of analysis
and manipulation of pictorial data by
computer. Image input/output devices, image
processing software, enhancement,
segmentation, property measurement, Fourier
analysis. Computer encoding, processing, and
analysis of curves.
CMSC 427 Computer Graphics
(3 credits)
Prerequisites: MATH240; and a grade of C
or better in CMSC420; and permission of
department; or CMSC graduate student.
An introduction to the principles of computer
graphics. Includes an introduction to graphics
displays and systems. Introduction to the
mathematics of affine and projective
transformations, perspective, curve and
surface modeling, algorithms for hidden-
surface removal, color models, methods for
modeling illumination, shading, and reflection.
CMSC 430 Introduction to
Compilers (3 credits)
Prerequisites: a grade of C or better in
CMSC330; and permission of department;
or CMSC graduate student.
Topics include lexical analysis, parsing,
intermediate representations, program
analysis, optimization, and code generation.
CMSC 433 Programming
Language Technologies and
Paradigms (3 credits)
Prerequisite: CMSC330; and permission of
department; or CMSC graduate student.
Programming language technologies (e.g.,
object-oriented programming), their
implementations and use in software design
and implementation.
CMSC 434 Introduction to
Human-Computer Interaction (3
credits)
Prerequisites: CMSC330 with a grade of C
or better and PSYC100; and permission of
department; or CMSC graduate student.
Assess usability by quantitative and qualitative
methods. Conduct task analyses, usability
tests, expert reviews, and continuing
assessments of working products by
interviews, surveys, and logging. Apply design
processes and guidelines to develop
professional quality user interfaces. Build low-
fidelity paper mockups, and a high-fidelity
prototype using contemporary tools such as
graphic editors and a graphical programming
environment (eg: Visual Basic, Java).
CMSC 435 Software Engineering
(3 credits)
Prerequisites: (CMSC412, CMSC417,
CMSC420, CMSC430, or CMSC433) with
a grade of C or better and permission of
department; or CMSC graduate student.
State-of-the-art techniques in software design
and development. Laboratory experience in
applying the techniques covered. Structured
design, structured programming, top-down
design and development, segmentation and
modularization techniques, iterative
enhancement, design and code inspection
techniques, correctness, and chief-programmer
teams. The development of a large software
project.
CMSC 436 Programming
Handheld Systems (3 credits)
Prerequisite: CMSC330 with a grade of C
or better.
Fundamental principles and concepts that
underlie the programming of handheld
systems, such as mobile phones, personal
digital assistants, and tablet computers.
Particular emphasis will be placed on concepts
such as limited display size, power, memory
and CPU speed; and new input modalities,
where handheld systems differ substantially
from non-handheld systems, and thus require
special programming tools and approaches.
Students will apply these concepts and
principles in the context of an existing handset
programming platform.
CMSC 451 Design and Analysis
of Computer Algorithms (3
credits)
Prerequisite: a grade of C or better in
CMSC351; and permission of department;
or CMSC graduate student.
Fundamental techniques for designing
efficient computer algorithms, proving their
correctness, and analyzing their complexity.
General topics include sorting, selection, graph
algorithms, and basic algorithm design
paradigms (such as divide-and-conquer,
dynamic programming and greedy
algorithms), lower bounds and NP-
completeness.
CMSC 452 Elementary Theory of
Computation (3 credits)
Prerequisite: CMSC351 with a grade of C
or better; and permission of department; or
CMSC graduate student.
Alternative theoretical models of computation,
types of automata, and their relations to formal
grammars and languages.
CMSC 456 Cryptology (3
credits)
Prerequisites: Any two 400-level MATH
courses; orCMSC330 and CMSC351; and
permission of department; or CMSC
graduate student. Also offered as
MATH456. Credit will be granted for only
one of the following: CMSC456 or
MATH456.
Importance in protecting data in
communications between computers. The
343
subject lies on the border between
mathematics and computer science.
Mathematical topics include number theory
and probability, and computer science topics
include complexity theory.
CMSC 460 Computational
Methods (3 credits)
Prerequisites: MATH240; and MATH241;
and CMSC106 or CMSC1 14 or ENEE1 14;
and permission of department; or CMSC
graduate student. Also offered as
AMSC460. Credit will be granted for only
one of the following:
AMSC/CMSC/MAPL460 or
AMSC/CMSC/MAPL466.
Basic computational methods for interpolation,
least squares, approximation, numerical
quadrature, numerical solution of polynomial
and transcendental equations, systems of linear
equations and initial value problems for
ordinary differential equations. Emphasis on
methods and their computational properties
rather than their analytic aspects. Intended
primarily for students in the physical and
engineering sciences.
CMSC 466 Introduction to
Numerical Analysis I (3 credits)
Prerequisites: MATH240; and MATH241;
and CMSC106 or CMSC1 14 or ENEE1 14;
and permission of department; or CMSC
graduate student. Also offered as
AMSC466. Credit will be granted for only
one of the following:
AMSC/CMSC/MAPL460 or
AMSC/CMSC/MAPL466.
Floating point computations, direct methods
for linear systems, interpolation, solution of
nonlinear equations.
CMSC 474 Introduction to
Computational Game Theory (3
credits)
Prerequisite: CMSC351 with a grade of C
or better, or permission of department.
Credit will be granted for only one of the
following: CMSC474, ECON414, or
GVPT399A.
Game theory deals with interactions among
agents (either human or computerized) whose
objectives and preferences may differ from the
objectives and preferences of the other agents.
It will also provide a comprehensive
introduction to game theory, concentrating on
its computational aspects.
CMSC 475 Combinatorics and
Graph Theory (3 credits)
Prerequisites: MATH240 and MATH241;
and permission of department; or CMSC
graduate student. Also offered as
MATH475.
General enumeration methods, difference
equations, generating functions. Elements of
graph theory, matrix representations of graphs,
applications of graph theory to transport
networks, matching theory and graphical
algorithms.
CMSC 498 Selected Topics in
Computer Science (1-3 credits)
Prerequisite: permission of department.
An individualized course designed to allow a
student or students to pursue a selected topic
not taught as a part of the regular course
offerings under the supervision of a Computer
Science faculty member. In addition, courses
dealing with topics of special interest and/or
new emerging areas of computer science will
be offered with this number. Selected topics
courses will be structured very much like a
regular course with homework, project and
exams. Credit according to work completed
CMSC 499 Independent
Undergraduate Research (1-3
credits)
Prerequisite: permission of department;
restricted to Computer Science and
Computer Engineering Majors.
Students are provided with an opportunity to
participate in a computer science research
project under the guidance of a faculty
advisor. Format varies. Students and
supervising faculty member will agree to a
research plan which must be approved by the
department. As part of each research plan,
students should produce a final paper
delineating their contribution to the field.
CMSC 630 Foundations of
Software Verification (3 credits)
Prerequisite: CMSC430.
Topics in program verification. Operational
semantics of programs. Preconditions and
postconditions. Axiomatic proof systems and
predicate transformers. Temporal logic and
model checking. Process algebra, semantic
equivalences and algebraic reasoning.
CMSC 631 Program Analysis
and Understanding (3 credits)
Prerequisite: CMSC 430 or equivalent.
Techniques for static analysis of source code
and modern programming paradigms.
Analysis techniques: data flow analysis,
program dependence graphs, program slicing,
abstract interpretation. The meaning of
programs: denotational semantics, partial
evaluation. Advanced treatment of abstraction
mechanisms: polymorphic types, operation
overloading, inheritance, object-oriented
programming and ML-like programming
languages.
CMSC 634 Empirical Research
Methods for Computer Science
(3 credits)
Prerequisite: Computer Science graduate
student or permission of instructor.
Recommended: Introductory statistics
class. Credit will be granted for only one of
the following: CMSC838G (Fall 2005) or
CMSC634.
A graduate -level introductory course on
empirical reseach methods for computer
scientists. Experimental techniques for
evaluating software systems and processes,
human performance using interfaces,
programming environments, and software
engineering methods. Introduction to
constructs and methods of measurements,
qualitative and quantitative design, quasi-
experimental and non-experimental design,
baseline design, and statistical analysis.
CMSC 651 Analysis of
Algorithms (3 credits)
Prerequisite: CMSC 451.
Efficiency of algorithms, orders of magnitude,
recurrence relations, lower-bound techniques,
time and space resources, NP-complete
problems, polynomial hierarchies, and
approximation algorithms. Sorting, searching,
set manipulation, graph theory, matrix
multiplication, fast Fourier transform, pattern
matching, and integer and polynomial
arithmetic.
CMSC 652 Complexity Theory (3
credits)
Prerequisite: CMSC451 orCMSC452; or
permission of instructor. Credit will be
granted for only one of the following:
CMSC652 or CMSC858G. Formerly
CMSC858G.
This course will define what it means for a
problem to be hard (or easy) in a variety of
ways. The emphasis will be on natural
problems. Topics may include NP-
completeness, Sparse Sets, Graph
Isomoprhism (why it is thought to not be NP-
complete), Counting problems, and
approximation problems.
CMSC 660 Scientific Computing
I (3 credits)
Prerequisite: AMSC/CMSC/MAPL 460 or
AMSC/CMSC/MAPL 466, or knowledge
of basic numerical analysis (linear
equations, nonlinear equations, integration,
interpolation) with permission of instructor.
Knowledge of C or Fortran. Also offered as
AMSC 660. Credit will be granted for only
one of the following: AMSC 660, CMSC
660 or MAPL 660.
Monte Carlo simulation, numerical linear
algebra, nonlinear systems and continuation
method, optimization, ordinary differential
equations. Fundamental techniques in
scientific computation with an introduction to
the theory and software for each topic.
CMSC 661 Scientific Computing
II (3 credits)
Prerequisite: AMSC/CMSC/MAPL 460,
AMSC/CMSC/MAPL 466, or knowledge
of basic numerical analysis (linear
equations, nonlinear equations, integration,
interpolation) with permission of instructor.
Knowledge of C or Fortran. Also offered as
AMSC 661. Credit will be granted for only
one of the following: AMSC, CMSC 661
or MAPL 661.
Fourier and wavelet transform methods,
numerical methods for elliptic partial
differential equations, numerical linear algebra
for sparse matrices, Finite element methods,
numerical methods for time dependent partial
differential equations. Techniques for
scientific computation with an introduction to
the theory and software for each topic. Course
is part of a two course sequence (660 and
661), but can be taken independently.
CMSC 662 Computer
Organization and Programming
for Scientific Computing (3
credits)
Prerequisite: AMSC/CMSC/MAPL 460,
AMSC/CMSC/MAPL 466 or knowledge of
basic numerical analysis (linear equations,
nonlinear equations, integration,
interpolation) with permission of instructor.
Knowledge of C or Fortran. Also offered as
AMSC 662. Credit will be granted for only
one of the following: AMSC 662 or CMSC
662.
This course presents fundamentals issues of
computer hardware, software, parallel
computing, and scientific data management for
programming for scientific computation.
CMSC 663 Advanced Scientific
Computing I (3 credits)
CMSC 664 Advanced Scientific
Computing II (3 credits)
344
Prerequisite: CMSC 663 and permission of
instructor. Also offered as AMSC 664.
Credit will be granted for only one of the
following: AMSC 664, CMSC 664 or
MAPL 664.
In the sequence CMSC 663, CMSC 664
students work on a year-long individual
project to develop software for a scientific task
in a high performance computing
environment. Lectures will be given on code
development and validation, parallel
algorithms for partial differential equations,
nonlinear systems, optimization.
CMSC 666 Numerical Analysis I
(3 credits)
Prerequisites: AMSC/CMSC/MAPL 466;
and MATH 410. Also offered as AMSC
666. Credit will be granted for only one of
the following: AMSC 666, CMSC 666 or
MAPL 666.
Interpolation and approximation, numerical
integration, solution algorithms for nonlinear
systems of equations, numerical optimization.
CMSC 667 Numerical Analysis II
(3 credits)
Prerequisite: AMSC/CMSC/MAPL 666.
Also offered as AMSC 667. Credit will be
granted for only one of the following:
AMSC 667, CMSC 667 or MAPL 667.
Numerical solution of initial value problems,
numerical solution of boundary value
problems, iterative methods for linear systems
of equations, numerical methods for
eigenvalue problems.
CMSC 711 Computer Networks
(3 credits)
Prerequisite: CMSC 412 or equivalent.
Priciples, design, and performance evaluation
of computer networks. Network architectures
including the ISO model and local area
networks (LANs). Communication protocols
and network topology.
CMSC 712 Distributed
Algorithms and Verification (3
credits)
Prerequisite: CMSC 612 or equivalent.
Study of algorithms from the distributed and
concurrent systems literature. Formal approach
to specifying, verifying, and deriving such
algorithms. Areas selected from mutual
exclusion, resource allocation, quiescence
detection, election, Byzantine agreements,
routing, network protocols, and fault -
tolerence. Formal approaches will handle
system specification and verification of safety,
liveness, and real-time properties.
CMSC 722 Artificial Intelligence
Planning (3 credits)
Prerequisite: CMSC 421 or equivalent; or
permission of department.
Automated planning of actions to accomplish
some desired goals. Basic algorithms,
important systems, and new directions in the
field of artificial intelligence planning
systems.
CMSC 723 Computational
Linguistics I (3 credits)
Prerequisite: CMSC421 or equivalent; or
permission of instructor. PhD Comp credit
for CMSC723 or CMSC823, not both. Also
offered as LING723. Not open to students
who have completed LLNG645.
Fundamental methods in natural language
processing. Topics include: finite-state
methods, context-free and extended context-
free models of syntax; parsing and semantics
interpretation; n-gram and Hidden Markov
models, part-of- speech tagging; natural
language applications such as machine
translation, automatic summarization, and
question answering.
CMSC 724 Database
Management Systems (3
credits)
Prerequisite: CMSC 624 or permission of
instructor.
Theoretical and implementation issues in
advanced database systems. Topics include
distributed databases, parallel databases,
database client- server architectures,
multimedia access methods, advanced query
optimization techniques, data semantics and
models, object-oriented databases, and
deductive and expert database systems.
CMSC 725 Geographical
Information Systems and Spatial
Databases (3 credits)
Prerequisites: CMSC 420 and CMSC 424;
or permission of instructor.
Topics in geographic information systems and
spatial databases. Integrates related results
from databases, cartography, geography,
computer graphics, file access methods,
computational geometry, image processing,
data structures, and programming languages.
Topics include: cartographic modeling,
principles of cartography, methods from
computational geometry, principles of spatial
databases, access methods, and spatial data
structures. The architecture of some existing
spatial databases and geographic information
systems will be examined in greater detail.
CMSC 726 Machine Learning (3
credits)
Prerequisite: CMSC 421 or equivalent or
permission of instructor.
Reviews and analyzes both traditional symbol-
processing methods and genetic algorithms as
approaches to machine learning. (Neural
network learning methods are primarily
covered in CMSC 727.) Topics include
induction of decision trees and rules, version
spaces, candidate elimination algorithm,
exemplar-based learning, genetic algorithms,
evolution under artificial selection of problem-
solving algorithms, system assessment,
comparative studies, and related topics.
CMSC 727 Neural Modeling (3
credits)
Prerequisite: CMSC 421 or equivalent; or
permission of instructor. Undergraduate
calculus, linear algebra, and elementary
probability and statistics are assumed.
Fundamental methods of neural modeling.
Surveys historical development and recent
research results from both the computational
and dynamical systems perspective. Logical
neurons, perceptrons, linear adaptive
networks, attractor neural networks,
competitive activation methods, error back-
propagation, self-organizing maps, and related
topics. Applications in artificial intelligence,
cognitive science, and neuroscience.
CMSC 733 Computer
Processing of Pictorial
Information (3 credits)
Prerequisite: CMSC 420.
Input, output, and storage of pictorial
information. Pictures as information sources,
efficient encoding, sampling, quantization,
approximation. Position-invariant operations
on pictures, digital and optical
implementations, the pax language,
applications to matched and spatial frequency
filtering. Picture quality, image enhancement
and image restoration. Picture properties and
pictorial pattern recognition. Processing of
complex pictures; figure extraction, properties
of figures. Data structures for pictures
description and manipulation; picture
languages. Graphics systems for alphanumeric
and other symbols, line drawings of two- and
three-dimensional objects, cartoons and
movies.
CMSC 734 Information
Visualization (3 credits)
Prerequisite: CMSC434 or Human-
Computer Interaction experience. Formerly
CMSC838F.
Information visualization defined in relation to
graphics, scientific visualization, databases,
data mining, and human-computer interaction.
Visualizations for dimensional, temporal,
hierarchical and network data. Examines
design alternatives, algorithms and data
structures, coordinated views, and human
factors evaluations of efficacy.
CMSC 735 A Quantitative
Approach to Software
Management and Engineering (3
credits)
Prerequisites: CMSC 435; and STAT 400
or permission of instructor.
Introduction to the fundamental ideas for
measuring and evaluating the software
development process and product. Types of
models and metrics currently in use.
Paradigms for using practical measurement for
managing and engineering the software
development and maintenance process;
evaluating software methods and tools; and
improving productivity, quality and the
effective use of methodology.
CMSC 737 Fundamentals of
Software Testing (3 credits)
Prerequisite: CMSC435 or equivalent.
Formerly CMSC838M.
Examine fundamental software testing and
related program analysis techniques. In
particular, the important phases of testing will
be reviewed, emphasizing th significance of
each phase when testing different types of
software. Concepts include: test generation,
oracles, prioritization and coverage, regression
and mutation testing, and program analysis.
CMSC 740 Advanced Computer
Graphics (3 credits)
Prerequisites: (MATH 240 and CMSC 420)
or permission of instructor.
An introduction to the principles of computer
graphics. Includes an introduction to graphics
displays and systems, introduction to the
mathematics of affine and projective
transformations, perspective, curve and
surface modeling, algorithms for hidden-
surface removal, color models, methods for
modeling illumination, shading, and reflection.
CMSC 741 Geometric and Solid
Modeling (3 credits)
Prerequisite: MATH240 and CMSC420, or
permission of instructor. Formerly
CMSC828D.
An introduction to modeling and mesh-based
representations for solid objects, surfaces, and
scalar fields; boundary and volumetric models
for solid objects. Applications to computer
aided design, computer graphics, scientific
345
visualization, finite elements, computer vision,
and robotics.
CMSC 751 Parallel Algorithms (3
credits)
Prerequisite: CMSC 451 or equivalent.
A presentation of the theory of parallel
computers and parallel processing. Models of
parallel processing and the relationships
between these models. Techniques for the
design and analysis of efficient parallel
algorithms including parallel prefix, searching,
soiling, graph problems, and algebraic
problems. Theoretical limits of parallelism,
inherently sequential problems, and the theory
of P-completeness.
CMSC 752 Concrete Complexity
(3 credits)
Prerequisite: CMSC 451 or CMSC 650 or
permission of instructor.
Investigate upper and lower bounds for several
problems such as soiling, selection, parity,
hashing, and graphs. Consider models of
computation such as decision trees, circuits,
monotone circuits, and the information model
for graphs. Combinatorial tools developed as
needed. Ramsey theory will be one of those
tools.
CMSC 754 Computational
Geometry (3 credits)
Prerequisites: {CMSC 420 and CMSC
451} or permission of instructor.
Introduction to algorithms and data structures
for computational problems in discrete
geometry (for points, lines, and polygons)
primarily in two and three dimensions. Topics
include triangulations and planar subdivisions,
geometric search and intersection, convex
hulls, Voronoi diagrams, Delaunay
triangulations, line arrangements, visibility,
and motion planning.
CMSC 760 Advanced Linear
Numerical Analysis (3 credits)
Prerequisite: AMSC/CMSC/MAPL 666 or
permission of instructor. Also offered as
AMSC 600. Credit will be granted for only
one of the following: AMSC 600,
CMSC760 or MAPL 760. Formerly
CMSC770.
Advanced topics in numerical linear algebra,
such as dense eigenvalue problems, sparse
elimination, iterative methods, and other
topics.
CMSC 764 Advanced Numerical
Optimization (3 credits)
Prerequisite: MATH410 or permission of
instructor. Also offered as AMSC607.
Credit will be granted for only one of the
following: AMSC607, CMSC764, or
MAPL607. Formerly CMSC8780.
Modern numerical methods for solving
uncontrained and constrained nonlinear
optimization problems in finite dimensions.
Design of computational algorithms and the
analysis of their properties.
CMSC 773 Computational
Linguistics II (3 credits)
Prerequisite: CMSC723 orLlNG723; or
permission of instructor. May only receive
PhD Comp. credit for CMSC723 or
CMSC823, not both. Also offered as
LING773. Not open to students who have
completed LING647. Formerly
CMSC828R.
Natural language processing with a focus on
corpus-based statistical techniques. Topics
inlcude: stochastic language modeling,
smoothing, noisy channel models,
probabilistic grammars and parsing; lexical
acquisition, similarity-based methods, word
sense disambiguation, statistical methods in
NLP applications; system evaluation.
CMSC 798 Graduate Seminar in
Computer Science (1-3 credits)
CMSC 799 Master's Thesis
Research (1-6 credits)
CMSC 818 Advanced Topics in
Computer Systems (1-3 credits)
Prerequisite: permission of instructor.
Repeatable for credit.
Advanced topics selected by the faculty from
the literature of computer systems to suit the
interest and background of students.
CMSC 828 Advanced Topics in
Information Processing (1-3
credits)
Prerequisite: permission of instructor.
Repeatable for credit.
Advanced topics selected by the faculty from
the literature of information processing to suit
the interest and background of students.
CMSC 838 Advanced Topics in
Programming Languages (1-3
credits)
Prerequisite: permission of instructor.
Repeatable for credit.
Advanced topics selected by faculty from the
literature of programming languages to suit the
interest and background of students.
CMSC 858 Advanced Topics in
Theory of Computing (1-3
credits)
Prerequisite: permission of instructor.
Repeatable for credit.
Advanced topics selected by the faculty from
the literature of theory of computing to suit the
interest and background of students.
CMSC 878 Advanced Topics in
Numerical Methods (1-3 credits)
Prerequisite: permission of instructor.
Repeatable for credit.
Advanced topics selected by the faculty from
the literature of numerical methods to suit the
interest and background of students.
CMSC 898 Pre-Candidacy
Research (1-8 credits)
Prerequisite: permission of instructor.
Advanced topics selected by the faculty from
the literature of applications of computer
science to suit the interest and background of
students. May be repeated for credit.
CMSC 899 Doctoral Dissertation
Research (1-8 credits)
Communication
(COMM)
COMM 400 Research Methods in
Communication (3 credits)
Prerequisite: COMM250 and an
introductory course in statistics. For
COMM majors only.
Philosophy of scientific method; role of
theory; research ethics; empirical research
methods (measurement, sampling, design,
analysis).
COMM 401 Interpreting Strategic
Discourse (3 credits)
Prerequisite: COMM250. For COMM
majors only.
Principles and approaches for practical
analysis of discourse designed to shape
audience opinion.
COMM 402 Communication
Theory and Process (3 credits)
Prerequisite: COMM250. For COMM
majors only.
Philosophical and conceptual analysis of
communication theories.
COMM 420 Theories of Group
Discussion (3 credits)
Current theory, research and techniques
regarding small group process, group
dynamics, leadership and decision-making.
COMM 421 Communicating
Leadership (3 credits)
Not open to students who have completed
COMM498L.
Examines the nature of leadership, theories of
leadership from a communication perspective,
relationships between leadership, authority,
power, and ethics. Explores leadership
responsibilities, commitments, and actions.
COMM 422 Communication
Management (3 credits)
Communication policies, plans, channels, and
practices in the management of the
communication function in organizations.
COMM 423 Communication
Processes in Conferences (3
credits)
Group participation in conferences, methods
of problem solving, semantic aspects of
language, and the function of conferences in
business, industry and government settings.
COMM 424 Communication in
Complex Organizations (3
credits)
Structure and function of communication
within organizations: organizational climate
and culture, information flow, networks and
role relationships.
COMM 425 Negotiation and
Conflict Management (3 credits)
Role of communication in shaping negotiation
and conflict processes and outcomes.
COMM 426 Conflict Management
(3 credits)
Recommended: COMM425, COMM250,
and COMM402.
Role of communication in managing conflict
processes.
COMM 427 Crisis
Communication (3 credits)
For COMM majors only. Not open to
students who have completed
COMM398C. Credit will be granted for
only one of the following: COMM398C or
COMM427. Formerly COMM398C.
Explores theories and research related to
communication before, during, and after a
crisis. Students examine the fundamentals of
organizational communication, crisis
management, and strategic and crisis
communication planning before examining
case studies of a number of real-life crises:
organizational crises, natural disasters,
accidents, terrorism incidents, health crises,
and major crises of credibility.
COMM 430 Public Relations
Theory and Techniques (3
credits)
346
Prerequisite: JOUR201 or equivalent; and
permission of department. Not open to
students who have completed COMM350.
Credit will be granted for only one of the
following: COMM350, COMM430,
COMM630, JOUR530 and JOUR630.
Formerly JOUR530.
Theories relevant to the strategic management
of public relations and techniques used in
programs to communicate with publics of
organizations
CO MM 435 Theories of
Interpersonal Communication (3
credits)
Prerequisite: COMM400 or permission of
department.
Major theoretical approaches and research
trends in the study of interpersonal
communication.
COMM 436 Interpersonal
Arguing (3 credits)
Prerequisite: COMM250 and COMM400.
For COMM majors only. Credit will be
granted for only one of the following:
COMM436 or COMM498I. Formerly
COMM498I.
An examination of face to face arguing.
COMM 450 Ancient and
Medieval Rhetorical Theory (3
credits)
Prerequisite: COMM250. For COMM
majors only. Credit will be granted for only
one of the following: COMM450, or
COMM650.
A survey of rhetorical theory in the ancient
and medieval periods. Emphasis is placed on
the theoretical problems that gave rise to its
development within both periods. Authors
include Isocrates, Plato, Aristotle, Cicero,
Quintilian, Hermogenes, Martianus Capella,
Aurelius Augustine, Alberic of Monte
Cassino, Geoffrey of Vinsauf and Robert of
Basevorn.
COMM 451 Renaissance &
Modern Rhetoric Theory (3
credits)
A survey of rhetorical theory in the
renaissance and modern periods. Emphasis is
placed on the theoretical trends that dominate
rhetorical thinking during both periods—
especially in Great Britain. Authors include
Wilson, Sherry, Rainolde, Day, Hyperius,
Cox, Ramus, Talon, Bacon, Pascal, Fenelon,
Sheridan, Campbell, Blair, and Whately.
COMM 453 The Power of
Discourse in American Life (3
credits)
The potential of language forms and strategic
discourse to create, perpetuate, and alter
patterns of political and cultural behavior. The
influence of contemporary political and
cultural discourse on public understanding,
public policy, and day-to-day life.
COMM 454 Rhetoric of the 1960s
(3 credits)
Prerequisite: COMM401 or permission of
department. Not open to students who have
completed COMM453 (Spring 2003).
Study of key rhetoric of the 1960s. Treats
rhetoric of relevant Presidents and several
protest movements including civil rights, anti-
war, and women's liberation. Contrasts
traditional modes of argument with alternative
rhetorical forms.
COMM 455 Speechwriting (3
credits)
The study of message strategies in order to
research and develop effective speech texts
appropriate to speakers and their audiences in
various public contexts.
COMM 458 Seminar in Political
Communication (3 credits)
Prerequisite: COMM250. Repeatable to 6
credits if content differs .
The examination of special topics for and
theories of political communication.
COMM 460 Public Life in
American Communities, 1634-
1900 (3 credits)
Ways that Americans have used their voice to
create public life. Focus is on the diverse
social communities that have characterized
American life and the place and characteristics
of oral discourse in each.
COMM 461 Voices of Public
Leadership in the Twentieth
Century (3 credits)
Study of the use of speaking in the power
struggles of the twentieth century. Focus is on
important speakers of the century, their social
and policy influence, and the struggle to
expand the diversity of voices with power in
the public sphere.
COMM 468 Seminar in Mediated
Communication (3 credits)
Prerequisites: COMM/JOUR350 or
COMM402 or COMM450. Junior standing.
Repeatable to 6 credits if content differs.
The examination of special topics related to
the study of mediated communication.
COMM 469 The Discourse of
Social Movements (3 credits)
Recommended: COMM401. Junior
standing. Repeatable to 6 credits if content
differs.
Study of key social movements that have
influenced American social and political life.
In alternate years the Civil Rights Movement
and the Rhetoric of Women's Suffrage and
Abolitionism. Consideration of how groups
excluded from or marginalized in American
political life affect social change.
COMM 470 Listening (3 credits)
The principles of listening behavior.
COMM 471 Public
Communication Campaigns (3
credits)
Prerequisite: COMM200 or permission of
department.
Diffusion theory and its implications for
public communication campaigns.
COMM 472 Nonverbal
Communication (3 credits)
Nonverbal communication in human
interaction theory and research on proxemics,
kinesics and paralanguage as expression of
relationship, affect and orientation within and
across cultures.
COMM 475 Persuasion (3
credits)
Bases of persuasion, with emphasis on recent
experimental developments in persuasion.
COMM 476 Language,
Communication, and Action (3
credits)
The nature of communication as symbolic
action. Topics include language, meaning,
intention, understanding, and consequences of
communication.
COMM 477 Discourse Analysis
(3 credits)
Concepts of textual and discourse analysis
applied to speech situations.
COMM 478 Communication
Colloquium (1 credits)
Repeatable to 4 credits if content differs.
Current trends and issues in the field of
communication, stressing recent research
methods. Recommended for senior and
graduate student majors and minors in
communication.
COMM 482 Intercultural
Communication (3 credits)
The major variables of communication in an
intercultural context: cultural, racial and
national differences; stereotypes; values;
cultural assumptions; and verbal and
nonverbal channels.
COMM 483 Senior Seminar in
Public Relations (3 credits)
Prerequisite: COMM351 andCOMM400.
Not open to students who have completed
JOUR483. Credit will be granted for only
one of the following: COMM483 or
JOUR483. Formerly JOUR483.
Integration of theory, techniques and research
methods into the planning and execution of
public relations campaigns for specific
organizations. Analysis of research on the case
studies of public relations.
COMM 488 Communication
Portfolio Project (1 credits)
Senior standing. For COMM majors only.
Repeatable to 3 credits if content differs.
Preparation of the professional communication
portfolio.
COMM 489 Topical Research (1-
3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Individualized research projects conducted
with a faculty sponsor.
COMM 498 Seminar (3 credits)
Prerequisite: permission of instructor.
Senior standing.
Present-day communication research.
COMM 600 Empirical Research
in Communication (3 credits)
Formerly SPCH600.
COMM 601 Historical-Critical
Research in Communication (3
credits)
Formerly SPCH601.
Intense study in critical and historical
methodology as applicable to research in
communication. Emphasis will be placed on
the composition and the evaluation of
historical-critical studies of significance in the
field of rhetorical communication scholarship.
COMM 602 Communication
Theory (3 credits)
For COMM majors only.
Fundamental concepts, approaches, and
problems in communication theory.
COMM 604 Argumentation
Theory (3 credits)
Fundamental concepts, approaches, and
problems in argumentation theory.
COMM 605 Interpersonal
Arguing (3 credits)
347
Examination of interpersonal arguing. Topics
include invention, form, and editing of
argumentative content, presentation of such
content in face-to-face conversation, and
reaction to the content. Cognitive and other
psychological approaches predominate, but
some attention is given to rhetorical and
philosophical traditions.
COMM 606 Seminar in
Communication Management (3
credits)
Restricted to GCPS (Z045) in
Communication or Executive Masters in
Public Management (EXPM). Course may
not be applied to the MA or PhD in
Communication.
Communication and public relations as a
managed function of organizations are
introduced. Students learn how managing
communication contributes to organizational
effectiveness. Using organizational theory,
theories of Excellence in public relations and
communication management, communication
metrics and communication ethics, students
build their communication strategic
management skills beyond the programmatic
level to the functional and organizational
levels of decision-making.
COMM 607 Seminar in
Communication Management
Publics (3 credits)
Restricted to GCPS (Z045) in
Communication or Executive Masters in
Public Management (EXPM). Course may
not be applied to the MA or PhD in
Communication.
Research and analysis of publics and how the
use of this information builds more effective
relationships with strategic constituencies of
organizations are emphasized. Students learn
and apply to communication management
problems the theories of audience
segmentation, stakeholders, behavior of
activist organizations, conflict resolution,
environmental scanning, ethics of
organization-public relationships and the
situational theory of publics.
COMM 611 Semniar in Global
Communcation Mangement (3
credits)
Restricted to GCPS (Z045) in
Communication or Executive Masters in
Public Management (EXPM). Course may
not be applied to the MA or PhD in
Communication.
Global Communication Management extends
the theories of communication management
developed in COMM606 and COMM607 to a
global level. Students move beyond Western
communication management assumptions to
examine how practices of communication
management differ in different national and/or
cultural contexts. Students are challenged to
build generic principles of communication
management with specific applications that
can be used and adapted in the differing
countries and cultures of the world whether
working in multinational corporations,
national governments, or non-governmental
organizations (NGO's).
COMM 625 Negotiation (3
credits)
Role of communication in shaping negotiation
processes and outcomes.
COMM 626 Conflict Management
(3 credits)
Formerly SPCH626.
Role of communication in managing conflict
processes.
COMM 628 Organization
Communication: Research and
Intervention (3 credits)
Prerequisite: COMM 424 or permission of
instructor, Repeatable to 6 credits if content
differs. Formerly SPCH628.
The role of the internal and external
communication consultant as an organizational
change-agent. Emphasis upon data gathered to
facilitate the communication development of
the organization.
COMM 630 Seminar in Public
Relations Management (3
credits)
Not open to students who have completed
JOUR 630. Credit will be granted for only
one of the following: COMM 630 or
JOUR630. Formerly JOUR630.
Relationship of public relations management
to organizational structure and communication
functions. Objectives, planning, staffing,
budgeting, administering, and evaluation of
public relations programs.
COMM 631 Seminar in Public
Relations Publics (3 credits)
Not open to students who have completed
JOUR 631. Credit will be granted for only
one of the following: COMM 63 1 or JOUR
631. Formerly JOUR631.
Analysis of public relations programs aimed at
organizational publics. Media, is sue -related,
community, employee, governmental,
consumer, financial, and student/educator
publics. Theories of the nature of publics,
communication behavior of publics, and
effects of public relations programs aimed at
different publics.
COMM 633 Global Public
Relations (3 credits)
Not open to students who have completed
JOUR 633. Credit will be granted for only
one of the following: COMM 633 or JOUR
633. Formerly JOUR633.
Application of principles of public relations to
countries or regions with different cultures,
political systems, economic systems, levels of
development, media systems, and levels of
activism.
COMM 634 Seminar in Ethics
and Philosophy of Public
Relations (3 credits)
Not open to students who have completed
JOUR 634. Credit will be granted for only
one of the following: COMM 634 or JOUR
634. Formerly JOUR634.
Exploration of the emergent philosophy of
public relations; ethical issues including
accountability, social responsibility,
philanthropy, multicultural and gender issues,
fee structure, professionalism, divided
loyalties, and confidentiality.
COMM 652 Contemporary
Rhetorical Theory (3 credits)
Formerly SPCH652.
A study of twentieth century theories of
rhetoric. Special attention will be devoted to
Richard Weaver, Kenneth Burke, Lloyd
Bitzer, Ernest Bormann, Walter Fisher, and the
continental theorists of communication such as
Chaim Perelman and Jurgen Habermas.
COMM 655 Seminar in
Speechwriting (3 credits)
Formerly SPCH655.
Theoretical and practical aspects of
speechwriting at an advanced level.
COMM 661 Communication and
Social Change (3 credits)
Place of rhetoric as the union of the moral and
historical in moments of social definition.
Reviews theories of discourse in social change
including political change, social movements,
consciousness change, and more global
change. Application to contemporary change.
COMM 668 Risk Communication
(3 credits)
Prerequisite: permission of department.
Repeatable to 9 credits if content differs.
Principles and approaches to risk
communication. Emphasis is placed on
theoretical trends in risk communication and
application to industry. Topics include how to
be an effective source of risk communication,
understanding audiences, handling the media
and designing messages.
COMM 670 Seminar in Listening
Behavior (3 credits)
Prerequisite: COMM 470 or permission of
instructor. Formerly SPCH670.
A study of research in and measurement of
listening behavior.
COMM 680 Communication
Programs in Education and
Training (3 credits)
Formerly SPCH680.
An analysis of instructional development in
communication. Instructional objectives,
strategies and evaluation are applied to
educational, coiporate and industrial training
programs.
COMM 681 Communication
Issues in Human Resource
Development (3 credits)
Formerly SPCH681.
Research in and theory of contemporary
communication issues in the human resource
development of governmental, corporate,
business organizations.
COMM 683 Intercultural
Communication Theory (3
credits)
An in-depth coverage of the essential theories
of intercultural communication is provided.
COMM 686 Teaching
Communication (1 credits)
Formerly SPCH686.
Principles of effective teaching— content and
process—in the college communication
classroom.
COMM 687 Professional
Development in the
Communication Discipline (1
credits)
Knowledge and skills required for
advancement as an academic professional in
the communication discipline. Topics include
types of academic institutions and posts,
elements of academic performance,
documentation of professional qualifications,
how academic posts are secured, processes
associated with tenure and promotion,
processes of academic publication and history
of the discipline.
348
COMM 688 Communication
Field Experience (1-6 credits)
Prerequisite: permission of instructor.
Formerly SPCH688.
Applications of communication principles and
research in professional communication
settings.
COMM 698 Special Problems in
Communication (1-3 credits)
Formerly SPCH698.
COMM 700 Introduction to
Graduate Study in
Communication (3 credits)
Prerequisite: admission to the Ph.D.
program in COMM. Formerly SPCH700.
Basic skills in communication research.
COMM 701 Quantitative
Methods in Communication
Research (3 credits)
Prerequisite: COMM 700. Formerly
SPCH701.
Logic and methods of quantitative data
collection and statistical analysis as applied to
communication studies. Research strategies for
communications: experimentation, survey
research, field research, and content analysis.
COMM 702 Intermediate
Quantitative Data Analysis in
Communication Research: The
General Linear Model (3 credits)
Prerequisite: COMM 700 or permission of
instructor. Formerly SPCH702.
Data analysis in current communication
research. Techniques include regression,
correlation, factor analysis, matrix algebra,
covariance structure, and path diagrams.
Students will be expected to have completed a
methods course and a statistics course or tested
equivalent competencies.
COMM 703 Advanced
Quantitative Data Analysis in
Communication Research:
Structural Equation Models (3
credits)
Prerequisites: COMM 702 and permission
of instructor. Formerly SPCH703.
Model evaluation and theory construction in
communication research. Causal systems in
current communication research: recursive,
nonrecursive, and unobserved variable models.
Students must have a dissertation research
project requiring quantitative methods.
COMM 711 Historical/Critical
Methods in Communication
Research (3 credits)
Prerequisite: COMM 700 or permission of
instructor. Formerly SPCH7 1 1 .
Methods for historical and critical research in
communication. Formulation of significant
research questions, systematic collection of
bibliographic and phenomenal information,
formulating substantial claims, organizing and
writing research for disciplinary outlets.
COMM 712 Advanced
Historical/Critical Methods in
Communication Research (3
credits)
Prerequisites: COMM 71 1 and permission
of instructor. Formerly SPCH712.
Critical assessment of qualitative approaches
to communication. Introduction to significant
schools of historical and critical research.
Advanced techniques for inquiry and
manuscript preparation. Students must have
dissertation research project requiring
historical or critical method.
COMM 714 Introduction to
Qualitative Methods in
Communication Research (3
credits)
Prerequisite: permission of instructor.
Methods for field research in communication
including interiewing, ethnographic and
participant intervention, focus groups, and
content analysis. Formulation of significant
research questions, systematic collection of
field data, formulating substantial claims from
the research, organizing and writing research
from disciplinary outlets.
COMM 715 Advanced
Qualitative Methods in
Communication Research (3
credits)
Prerequisite: COMM714 or equivalent.
Advanced data analysis of qualitative data in
Communication research. In-field research and
techniques for analysis of data from in-field
work.
COMM 718 Practicum in
Research Proposal and Design
(3 credits)
Three hours of laboratory per week.
Prerequisite: Completion of Method
Sequence for Communication Degree.
Repeatable to 6 credits. Not open to
students who have completed JOUR 632.
Credit will be granted for only one of the
following: COMM 718 or JOUR 632.
Formerly JOUR632.
Development of research proposal through
research team interaction. In different semester
the course focuses on different subdisciplines
of communication.
COMM 720 Seminar in Small
Group Communication (3
credits)
Formerly SPCH720.
Small group communication theory, research,
and applications.
COMM 724 Seminar in
Organizational Communication
(3 credits)
Prerequisite: permission of instructor.
Formerly SPCH724.
Theories and problems of human
communication within, between, and/or
among formal organizations will be
emphasized.
COMM 730 Seminar in Health
Communication (3 credits)
Formerly SPCH730.
Communication processes in health care and
promotion.
COMM 738 Seminar in Mediated
Communication (3-12 credits)
Prerequisite: permission of the instructor.
Repeatable to 12 credits if content differs.
The examination of special topics related to
the study of mediated communication.
COMM 739 topics in Public
Relations (3 credits)
Repeatable to 6 credits if content differs.
Formerly JOUR739.
Seminar on specialized areas of scholarly
research in public relations or on the practice
of public relations in specialized
organizational settings.
COMM 748 The Rhetoric of the
Presidency (3 credits)
Repeatable to 09 credits if content differs.
Credit will be granted for only one of the
following: COMM 748 or COMM 768.
Formerly COMM768.
The study of the historical and contemporary
rhetoric of the presidency in appropriate
historical and political contexts. Scholarship
related to public address studies and theories
of the presidency will be featured.
COMM 758 Seminar in
Rhetorical Theory (3 credits)
Prerequisite: COMM 460, COMM 461, or
COMM 450. Repeatable to 12 credits if
content differs. Formerly SPCH758.
Examination of selected theories of style
drawn from the fields of rhetoric and
literature, and analysis of model speeches.
COMM 760 Seminar in Political
Communication (3 credits)
Formerly SPCH760.
A blend of theory and practice to integrate
rhetorical-critical theory and empirical
methods with politics. Practitioners in political
communication will be drawn in as resource
persons. Students will map the communication
strategy for candidates and analyze actual
campaign strategies.
COMM 762 The Rhetoric of
Political Institutions (3 credits)
The role of discourse in major political
institutions is examined. The specific
institutional focus may change from instructor
to instructor. Examples include Congress, the
courts, or the state legislatures.
COMM 768 Seminar in Public
Address (3 credits)
Repeatable to 12 credits if content differs.
Formerly SPCH768.
An in-depth study of national and international
speakers and issues throughout the history of
the spoken word. Emphasis will be placed
upon the application of rhetorical principles to
the analysis of world speakers and their
speeches.
COMM 775 Seminar in
Persuasion and Attitude Change
(3 credits)
Prerequisite: permission of department.
Formerly SPCH775.
This seminar will concentrate on the problem
of making message strategy decisions. Course
content will consist of study of both
theoretical and empirical research on attitude
and attitude change in persuasive
communication.
COMM 776 Seminar in
Interpersonal Communication (3
credits)
Formerly SPCH776.
Interpersonal communication theory, research,
and practice.
COMM 777 Persuasive Message
Strategies (3 credits)
Credit will be granted for only one of the
following: COMM 698P or COMM 777.
Formerly COMM698P.
Examines which persuasive messages are
effective to change attitudes and behavior at
what times and with what people.
349
COMM 779 Seminar: Special
Topics in Persuasion and
Attitude Change (3 credits)
Recommended: COMM 775. Repeatable to
09 credits if content differs.
This seminar explores special topic areas with
the study of persuasion and attitude change,
such as social cognition, humor, message
production,and cognitive oscillation.
COMM 783 Seminar in
Intercultural Communication (3
credits)
Prerequisite: COMM 683 or equivalent.
Not open to students who have completed
COMM 682. Credit will be granted for
only one of the following: COMM 682 or
COMM 783. Formerly COMM682.
Concentrates on theoretical and
methodological issues in intercultural
communication research.
COMM 789 Seminar: Special
Topics in Intercultural
Communication (3 credits)
Recommended: COMM 683. Repeatable to
09 credits if content differs.
Explores special topic areas within the study
of intercultural communication, such as
culture and conflict, intercultural negotiation,
cross-cultural relationships.
COMM 798 Independent Study
(1-3 credits)
Prerequisite: permission of instructor.
Formerly SPCH798.
An individual course designed for intensive
study or research of problems in
communication.
COMM 799 Master's Thesis
Research (1-6 credits)
Formerly SPCH799.
COMM 879 Special Research
Problems in Persuasion and
Attitude Change (1-4 credits)
Prerequisite: permission of department.
Repeatable to 09 credits if content differs.
Directed team and individual research
projects.
COMM 888 Doctoral Practicum
in Communication (3-9 credits)
Repeatable to 9 credits if content differs.
Formerly SPCH888.
Analysis of professional activity through
personal observation. Evaluation of the
purpose, process, effectiveness, and efficiency
of professional activity. Recommendations for
training and further research.
COMM 889 Doctoral Tutorial in
Communication (3-9 credits)
Repeatable to 9 credits if content differs.
Formerly SPCH889.
Individual research in communication.
COMM 898 Pre-Candidacy
Research (1-8 credits)
COMM 899 Doctoral Dissertation
Research (1-8 credits)
Formerly SPCH899.
Sustainable
Development &
Conservation
Biology (CONS)
CONS 608 Seminar in
Sustainable Development and
Conservation Biology (1-4
credits)
Repeatable to 6 credits if content differs.
Special topics and current literature in
conservation biology and sustainable
development.
CONS 609 Special Topics in
Conservation Biology (1-3
credits)
Repeatable to 6 credits if content differs.
Lectures, experimental courses and other
special instructions in various subjects in
conservation biology.
CONS 670 Conservation Biology
(3 credits)
Single species conservation theory and
practice: population viability assessment,
conservation genetics and demography,
metapopulations, reintroduction and
conservation education.
CONS 680 Problem Solving in
Conservation/Development (4
credits)
Students will be exposed to current problems
in conservation and development through great
lectures, field trips, interviews and appropriate
literature. Working in teams, students will
formulate recommendations based on a
synthesis of biological, economic and policy
considerations.
CONS 798 Research Papers in
Sustainable Development and
Conservation Biology (1-4
credits)
For CONS majors only. Repeatable to 4
credits if content differs .
Work on the required scholarly paper.
Dance (DANC)
DANC 410 Technical Theater
Production for Dance (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
DANC210 or equivalent; or permission of
department.
A study of the theoretical principles of
production and the practical application of
those principles to the presentation of dance
works.
DANC 428 Advanced Ballet
Technique I (1 credits)
Two hours of laboratory per week.
Prerequisite: permission of department.
Repeatable to 3 credits.
Advanced ballet technique with emphasis on
physical and expressive skills.
DANC 429 Advanced Ballet
Technique II (1 credits)
Two hours of laboratory per week.
Prerequisite: permission of department.
Repeatable to 3 credits.
Intensive work in ballet technique for the
professionally-oriented dancer.
DANC 448 Modern Dance V (3
credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
DANC349 and audition. Repeatable to 6
credits.
Complex phrases of modern dance movement
with emphasis on articulation and expression.
DANC 449 Modern Dance VI (3
credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
DANC448 and permission of department.
Repeatable to 6 credits.
Continuation of DANC448.
DANC 466 Laban Movement
Analysis (3 credits)
For DANC majors only.
Introduction to Rudolf Laban's system of
qualitative movement analysis in relation to
understanding personal movement style.
Application to dance performance, teaching,
composition and research.
DANC 468 Modern Repertory (3
credits)
Prerequisite: DANC349 or permission of
department. Repeatable to 6 credits if
content differs.
Form, content, music, design and performance
of modern dance works.
DANC 469 Study Abroad Special
Topics IV (1-6 credits)
Repeatable to 15 credits if content differs.
Special topics course taken as part of an
approved study abroad program.
DANC 479 Advanced Practicum
in Dance (1-3 credits)
Repeatable to 6 credits.
Advanced level performing experience for the
student dancer who has developed an
advanced professional level of competence.
DANC 483 History of Dance II (3
credits)
Prerequisite: DANC200.
The development of dance from the
Renaissance period to the present time and the
relationship of dance forms to patterns of
culture.
DANC 485 Seminar in Dance (3
credits)
Prerequisite: DANC483. Senior standing.
For DANC majors only. Formerly
DANC484.
Individual research leading to a presentation
with written documentation of the process,
serving as a culmination of undergraduate
study for dance majors.
DANC 489 Special Topics in
Dance (1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Theoretical, choreographic, pedagogic, or
performance study.
DANC 499 Practicum in
Choreography, Production and
Performance IV (1-6 credits)
Prerequisite: permission of department.
Repeatable to 6 credits.
Advanced workshop in dance presentation,
including performing, production and planned
field experiences.
DANC 600 Introduction to
Graduate Studies in Dance (3
credits)
Prerequisite: permission of department.
Supervised writing of reports and articles on
selected dance subjects. Study of library
resources and interviewing techniques.
Preparation for written documentation of
thesis project.
350
DANC 604 Dance Pedogogy (2
credits)
Prerequisite: Admission to MFA in Dance
or permission of department.
Curriculum writing, lesson planning, class
structure, assessment/grading, and practice in
dance pedagogy. Includes preparation of
syllabi and studio teaching practice. This
course counts towards teaching ceritification
in the State of Maryland.
DANC 605 Seminar: Dance in
Higher Education (2 credits)
Two hours of discussion/recitation per
week. Prerequisite: Graduate Standing.
Overview of program planning, curriculum
development, promotion and tenure and other
issues in higher education in the field of dance.
DANC 608 Choreography I (3-6
credits)
Prerequisite: Admission to MFA in Dance
or permission of department. Repeatable to
6 credits.
Developing and defining individual
choreographic voice and vision in a shared
studio setting.
DANC 610 Workshop in the
Direction of Dance Production
(3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite: DANC
410 or equivalent.
A lecture/laboratory course dealing with the
relationship of the director to all of the
activities involved in the presentation of a
dance concert.
DANC 611 Dance Technology
and Media (3 credits)
Prerequisite: permission of department.
Project-based development of media and
technological support for dance performance,
archiving, and portfolio design, development
and implementation.
DANC 648 Advanced Modern
Dance Technique I (2 credits)
Four hours of laboratory per week.
Prerequisite: DANC 449 or equivalent.
Repeatable to 6 credits.
Professional level training in contemporary
dance techniques.
DANC 649 Advanced Modern
Dance Technique II (2 credits)
Four hours of laboratory per week.
Prerequisite: DANC 648 or equivalent.
Repeatable to 6 credits.
A continuation of DANC 648.
DANC 679 Graduate Dance
Performance (1-3 credits)
One hour of lecture and four hours of
laboratory per week. Prerequisite:
permission of department. Repeatable to 6
credits.
An advanced performance course focusing on
the restagings from noted scores of the
choreographic works of significant artists in
the field.
DANC 689 Special Topics in
Dance (1-3 credits)
Prerequisite: permission of department.
Repeatable to 09 credits if content differs.
Special Topics in dance theory, research or
creative projects.
DANC 698 Independent Study in
Dance (1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits.
Directed independent study in theoretical
topics.
DANC 705 Arts Education (3
credits)
History of arts education in the U.S., recent
policy changes and trends, current and recent
research findings, proposed legislation at the
state and federal level and the relationship of
research designed to, in part, prepare students
to be K-12 arts education and/or researchers
and/or policy professionals.
DANC 708 Choreography II (3-6
credits)
One hour of lecture and four hours of
laboratory per week. Prerequisite:
Admission to MFA in Dance or permission
of department. Repeatable to 6 credits.
Collaborative work across disciplines and
genres.
DANC 719 Choreographic
Project (3 credits)
Prerequisite: Admission to MFA in Dance
or permission of department. Repeatable to
6 credits.
Research-based choreographic projects in
preparation for the choreographed thesis
project.
DANC 766 Movement
Observation and Analysis (2
credits)
Prerequisite: Admission to MFA in Dance
or permission of department.
Aspects of cultural and nonverbal analysis,
developmental movement, kinesiological
analysis, Laban Movement Analysis, with the
goal of developing the student/teacher's ability
to observe macro and micro levels of detail.
DANC 777 Internship in Dance
(6 credits)
Prerequisite: Admission to MFA in Dance;
and permission of department. For DANC
majors only.
Internship in dance advocacy, administration,
education, community building, choreography,
or performance with an agency off campus and
with a national or international profile.
DANC 779 Master's Tutorial for
Performance (1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits.
Supervised performance experience for
advanced dancers.
DANC 782 Historical
Perspectives in Dance (3
credits)
Prerequisite: DANC 483 or equivalent.
An advanced survey of the development of
thearetical dance in the Western world with a
special emphasis on the relationship between
dance and other performing arts.
DANC 783 Current Trends in
Dance (3 credits)
Prerequisite: DANC 483 or equivalent.
A survey of current trends in dance with an
emphasis on developments in the United
States covering choreographic and
performance practice, theory and criticism,
education, economics, and the mass media.
DANC 784 Dance in a Global
Context (3 credits)
One hour of lecture, two hours of
laboratory, and one hour of
discussion/recitation per week.
Prerequisite: Admission to MFA in Dance
or permission of department.
Topics that illuminate dance in global context
and provides an overview of methods,
challenges and perspectives to the study of
dance cross-culturally will be considered.
Simultaneously, surveys select dance practices
spanning many geographical areas, and offers
insight into the diverse social, cultural,
religious, and political environments from
which dance extends.
DANC 788 Master's Tutorial for
Choreography (1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits.
Supervised production and presentation of a
significant choreographic project.
DANC 789 Directed Study in
Dance Theory (2-6 credits)
Prerequisite: Graduate Standing.
Repeatable to 06 credits if content differs.
Advanced directed study in dance history,
theory or criticism culminating in a paper for
presentation or publication.
DANC 799 Master's Thesis
Project (1-6 credits)
Prerequisite: permission of department.
Economics (ECON)
ECON 401 Current Issues in
American Economic Policy (3
credits)
Prerequisite: ECON326 with a grade of 'C
or better (or ECON306 by permission of
department). For ECON majors only.
Analysis of current economic problems and
public policies. Inflation, unemployment,
market power, government regulation, poverty
and distribution of income, federal budget and
tax policy, environment.
ECON 402 Macroeconomic
Models and Forecasting (3
credits)
Prerequisite: ECON325 with a grade of 'C
(2.0) or better (ECON305 by permission of
department). For ECON majors only.
Analysis of the fluctuations in economic
activity and the formulation and use of
forecasting models of the economy.
Illustrations of computer macro models and
forecasting problems.
ECON 407 Advanced
Macroeconomics (3 credits)
Prerequisite: ECON325 with a grade of 'C
(2.0) or better (or ECON305 by permission
of department). For ECON majors only.
An in-depth analysis of current issues in
macroeconomic theory and policy. Topics
covered include: 1. alternative perspectives on
macroeconomics including monetarism, new
classical equilibrium models, rational
expectations, and real business cycle models;
2. long term growth, the slowdown in
productivity growth, and concerns about U.S.
competitiveness; 3. the effectiveness of
macroeconomic policy in an open economy; 4.
the effects of finance on the real sector.
ECON 412 Economic History
and Modern Development (3
credits)
Prerequisite: ECON325 and ECON326
with a grade of 'C (2.0) or better (or
351
ECON306 and ECON305 by permission).
For ECON majors only.
Analysis of major economic, political, and
social change in the developed world since
1800. This includes factors contributing to
increases in economic performance, changes
in the form of government, technological
change (including industrialization), and
integration and disintegration of the global
economy. Emphasis is on institutional changes
in how societies organize economic and
political activities.
ECON 413 Information and
Markets (3 credits)
Prerequisite: ECON326 with a grade of 'C
(2.0) or better (or ECON306 by permission
of department). For ECON majors only.
Presents advanced microeconomic theory,
concentrating on how information affects
exchange and market outcomes, including
insurance, signaling, reputations, and incentive
contracts. Studies applications to various
markets and policy questions.
ECON 414 Game Theory (3
credits)
Prerequisite: ECON326 with a grade of 'C
or better (or ECON306 by permission of
department). For ECON majors only. Not
open to students who have completed
GVPT399A. Credit will be granted for only
one of the following: CMSC474,
ECON414 or GVPT399A.
Studies the competitive and cooperative
behavior that results when several parties with
conflicting interests must work together. Learn
how to use game theory to analyze situations
of potential conflict. Applications are drawn
from economics, business, and political
science.
ECON 415 Market Design (3
credits)
Prerequisite: ECON414 with a grade of "C"
or better; or permission of department. For
ECON majors only.
Most decisions are not made in isolation, but
involve interaction with others. Applies the
foundations of game theory learned in
ECON414 to several important topics in
business and economics. Emphasis is on topics
of practical importance: negotiation, markets
with few participants, pricing and incentives.
ECON 416 Theory of Economic
Development (3 credits)
Prerequisite: ECON325 (or ECON305 by
permission of department) and ECON321
with a grade of 'C (2.0) or better. For
ECON majors only. Credit will be granted
for only one of the following: ECON315 or
ECON416.
Economic theory of the developing nations;
role of innovation, capital formation,
resources, institutions, trade and exchange
rates, and governmental policies.
ECON 418 Economic
Development of Selected Areas
(3 credits)
Prerequisite: ECON326 and either
ECON315 orECON416. For ECON
majors only. Repeatable to 6 credits if
content differs.
Institutional characteristics of a specific area
are discussed and alternate strategies and
policies for development are analyzed.
ECON 422 Econometrics I (3
credits)
Prerequisites: ECON321 (or STAT400)
with a grade of 'C (2.0) or better. For
ECON majors only.
Emphasizes the interaction between economic
problems and the assumptions employed in
statistical theory. Formulation, estimation, and
testing of economic models, including single
variable and multiple variable regression
techniques, theory of identification, and issues
relating to inference.
ECON 423 Econometrics II (3
credits)
Prerequisite: ECON422 and permission of
department.
Interaction between economic problems and
specification and estimation of econometric
models. Topics include issues of
autocorrelation, heteroscedasticity, functional
form, simultaneous equation models,
qualitative choice models, and other
computational methods.
ECON 424 Computer Methods in
Economics (3 credits)
Prerequisite: ECON325 and ECON326 (or
ECON305 and ECON306 by permission of
department) and ECON321 with a grade of
'C (2.0) or better. For ECON majors only.
Database development from Internet and other
sources, research methods, and statistical
analysis in economics using EXCEL and SAS.
ECON 425 Mathematical
Economics (3 credits)
Prerequisite: ECON325 and ECON326
with a grade 'C (2.0) or better (or
ECON305 and ECON306 by permission of
department). For ECON and MATH majors
only.
Mathematical developments of theory of
household and firm, general equilibrium and
welfare economics, market imperfections, and
role of information.
ECON 435 Financial Markets
and the Macroeconomy (3
credits)
Finance majors will not receive credit for
ECON435. Prerequisite: ECON326 with a
grade of *C* (2.0) or better (or ECON306 by
permission of department). For ECON
majors only. Not open to students who
have completed BMGT343 (for credit).
Credit will be granted for only one of the
following: BMGT343 or ECON435.
Formerly ECON398F.
The different types of financial assets that
exist, the markets that they trade in, and the
determination of their prices and rates of
return are examined. Specific topics that will
be covered include the Markowitz portfolio
selection model, the capital asset pricing
model, the arbitrage pricing theory, the
efficient markets hypothesis, the term structure
of interest rates, and options. There will be
almost no emphasis on issues in coiporate
finance.
ECON 441 Theory of
International Economics (3
credits)
Prerequisite: ECON325 and ECON326
with a grade of 'C (2.0) or better (or
ECON305 and ECON306 by permission of
department). For ECON majors only. Not
open to students who have completed
ECON340. Credit will be granted for only
one of the following: ECON340 or
ECON441.
Theoretical treatment of international trade
and international finance. Includes Ricardian
and Heckscher-Ohlin theories of comparative
advantage, analysis of tariffs and other trade
barriers, international factor mobility, balance
of payments adjustments, exchange rate
determination, and fiscal and monetary policy
in an open economy.
ECON 442 Globalization and
Capital Markets (3 credits)
Prerequisite: ECON325 and ECON326
with a grade of 'C (2.0) or better (or
ECON305 and ECON306 by permission of
department). For ECON majors only.
Credit will be granted for only one of the
following: ECON398M or ECON442.
Formerly ECON398M.
Uses models of open-economy
macroeconomics to explain the causes and
consequences of international capital flows.
Analysis is made of private consumption,
investment, the government sector, current
accounts, the labor market, and the money and
foreign exchange markets in small open
economies. This framework is then used to
study examples of how speculative attacks on
currencies, sudden reversals of capital inflows,
and the effects of the lack of credibility of
economic policy affect economic
development.
ECON 451 Public Choice (3
credits)
Prerequisite: ECON326 with a grade of 'C
(2.0) or better (or ECON306 by permission
of department). For ECON majors only.
Analysis of collective decision making,
economic models of government, program
budgeting, and policy implementation;
emphasis on models of public choice and
institutions which affect decision making.
ECON 454 Public Finance and
Public Policy (3 credits)
Prerequisite: ECON326 with a grade of 'C
(2.0) or better (or ECON306 by permission
of department). For ECON majors only.
Credit will be granted for only one of the
following: ECON350 or ECON454.
Study of welfare economics and the theory of
public goods, taxation, public expenditures,
benefit-cost analysis, and state and local
finance. Applications of theory to current
policy issues.
ECON 456 Law and Economics
(3 credits)
Prerequisite: ECON326 with a grade of 'C
(2.0) or better (or ECON306 by permission
of department). For ECON majors only.
Relationship of the exchange process to the
system of institutions and rules that society
develops to cany out economic transactions.
Topics covered include: Property rights; torts,
negligence, and liability; contracts and
exchanges; criminal control and enforcement;
equity issues in the rule and market
environment.
ECON 457 Economics of the
Gambling Industry (3 credits)
Prerequisite: ECON326 (or ECON306 by
permission of department), ECON321 (or
STAT400). For ECON majors only.
Analysis of basic economic issues related to
the gambling industry. Topics will include: (i)
structure and profitability of the gambling
industry; (ii) public policy issues (distribution
352
of the tax burden, addiction, government
operation of lotteries); (iii) probabilistic and
microeconomic elements of various games in
play (lotteries, blackjack, keno, poker, sports
gambling, etc) and related issues in strategic
behavior; (iv) microeconomic similarities and
distinctions between risky investment and
gambling.
ECON 460 Industrial
Organization (3 credits)
Prerequisite: ECON326 with a grade of 'C
(2.0) or better (or ECON306 by permission
of department). For ECON majors only.
Changing structure of the American economy;
price policies in different industrial
classifications of monopoly and competition in
relation to problems of public policy.
ECON 461 Economics of
Regulation and Anti-trust (3
credits)
Prerequisite: ECON326 with a grade of 'C
(2.0) or better (or ECON306 by permission
of department). For ECON majors only.
Credit will be granted for only one of the
following: ECON398R or ECON461.
Formerly ECON398R.
Considers government intervention in
economic activity of three types: antitrust
policy, regulation of natural monopolies, and
health safety regulation. Covers theoretical
models, real-world policy applications, and
empirical studies relevant to the impact of
regulation.
ECON 465 Health Care
Economics (3 credits)
Prerequisite: ECON326 with a grade of 'C
(2.0) or better (or ECON306 by permission
of department). For ECON majors only.
Analysis of health care, the organization of its
delivery and financing. Access to care; the role
of insurance; regulation of hospitals,
physicians, and the drug industry; role of
technology; and limits on health care
spending.
ECON 470 Theory of Labor
Economics (3 credits)
Prerequisite: ECON326 with a grade of 'C
(2.0) or better (or ECON306 by permission
of department). For ECON majors only.
Credit will be granted for only one of the
following: ECON370 or ECON470.
An analytical treatment of theories of labor
markets. Marginal productivity theory of labor
demand; allocation of time in household labor
supply models; theory of human capital;
earnings differentials; market structure and the
efficiency of labor markets; the role of trade
unions; discrimination; and unemployment.
ECON 480 Seminar in the New
Economy (3 credits)
Prerequisites: ECON325 and ECON326;
and permission of department. For ECON
majors only. Credit will be granted for only
one of the following: ECON398J or
ECON480. Formerly ECON398J.
Six research topics corresponding to the
current research programs of different
Economic Department faculty members will
be examined. Students will be expected to
prepare a short research paper on three of the
topics.
ECON 481 Theory and Policy in
Environmental Economics (3
credits)
Prerequisite: ECON326 with a grade of 'C
(2.0) or better (or ECON306 by permission
of department). For ECON and ENSP
majors only. Credit will be granted for only
one of the following: ECON381 or
ECON481. Formerly ECON381.
Application of economic theory and empirical
tools to the analysis of environmental issues.
The concepts of externalities, public goods,
property rights and cost-benefit analysis are
applied to air pollution, water pollution, solid
waste management, hazardous waste, and
global warning. The optimal role and various
tools of public policy are addressed.
ECON 600 Analytical
Techniques for Economists (3
credits)
Mathematical techniques applied in
microeconomics and macroeconomics.
Problems involving the use of constrained and
unconstrained optimization are discussed, and
difference equations, differential equations,
and optimal control theory are introduced.
ECON 601 Macroeconomic
Analysis I (3 credits)
Three hours of lecture and two hours of
laboratory per week. Prerequisite:
permission of department.
Introductory technical treatment of standard
Keynesian, classical and new classical
macroeconomic models. Expectations
formation and microeconomic foundations of
consumption, investment, money demand, and
labor market behavior.
ECON 602 Macroeconomic
Analysis II (3 credits)
Three hours of lecture and two hours of
laboratory per week. Prerequisite: ECON
601 or permission of department.
Further issues regarding macroeconomic
topics. First half emphasis will be placed on
dynamic macroeconomic theory as pertaining
to monetary issues, policy ineffectiveness and
effectiveness. The second half of the course
will focus on theories of investment and
growth.
ECON 603 Microeconomic
Analysis I (3 credits)
Three hours of lecture and two hours of
laboratory per week. Prerequisite:
permission of department.
A detailed treatment of the theory of the
consumer and of the firm, particularly
emphasizing the duality approach. Topics
include the household production model,
imperfect competition, monopolistic and
oligopolistic markets.
ECON 604 Microeconomic
Analysis II (3 credits)
Three hours of lecture and two hours of
laboratory per week. Prerequisite: ECON
603 or permission of department.
Analysis of markets and market equilibria; the
Arrow-Debreu model of general equilibrium,
the two-sector model, welfare theorems,
externalities, public goods, markets with
incomplete and asymmetric information.
ECON 606 History of Economic
Thought (3 credits)
Prerequisite: ECON 403 or permission of
department.
The classical economists, Adam Smith, David
Ricardo, and John Stuart Mill are studied in
detail after a survey of their predecessors:
Aristotle, Aquinas, the Mercantilists,
Founders, and Physiocrats. Attention is given
to methodological issues, including the
meaning and validity of economic theories.
ECON 611 Seminar in American
Economic Development (3
credits)
Prerequisite: permission of department.
Selected topics in the long-term movements of
the American economy. Quantitative studies
of the growth of output; applications of
econometric methods and economic theory to
topics in American economic history.
ECON 613 Origins and
Development of Capitalism (3
credits)
Prerequisite: permission of department.
Advanced special students not permitted.
Institutions and technology shaping pre-
capitalist economies: Archaic, Greek and
Roman, Feudal, and Mercantile. Rise of the
market system, national economies, and
capitalism. The nature of industrial society.
Imperialism.
ECON 615 Economic
Development of Less-Developed
Areas (3 credits)
Prerequisite: ECON 603 or permission of
department.
Analysis of the forces contributing to and
retarding economic progress in less-developed
areas. Topics include the relationship of
international trade to development, import-
substituting and export-led industrialization,
the effects of population growth on economic
development, and the analysis of institutions
and institutional change in land tenure,
finance, and labor markets.
ECON 616 Seminar in Economic
Development (3 credits)
Prerequisite: ECON 615 or ECON 415.
Current topics in economic development.
Special emphasis on application of theory and
research techniques to special problems or
countries.
ECON 621 Quantitative Methods
I (3 credits)
Prerequisite: ECON 600 or permission of
department.
An introduction to econometrics, and a
development of the mathematical background
concepts needed. Background materials relate
to various topics in linear algebra, and in
distribution theory. Focus on estimation,
hypothesis testing, and prediction in the
classical linear regression model.
Corresponding large sample issues are
considered. Special topics such as non-nested
models, hypotheses relating to nonlinear
functions of parameters, and specification
analysis, including tests for the dynamic
stability of a model.
ECON 622 Quantitative Methods
II (3 credits)
Prerequisite: ECON 621 or permission of
department.
A continuation of ECON 62 1 . Topics relate to
the generalized least squares model, to
dynamic single equation and simultaneous
equation models, and to qualitative dependent
variable models. Among the topics discussed
are various tests for heteroskedasticity and
autocorrelation, prediction issues, time series
models such as ARCH and GARCH models,
tests for unit roots, panel data models, and
systems estimation including the GMM
procedure. Both linear and nonlinear models
353
are considered. General testing principles,
such as likelihood ratio, Wald, and Hausman-
type test are also discussed.
ECON 623 Econometrics I (3
credits)
Prerequisite: Advanced knowledge of
probability and statistics, linear algebra,
and permission of department.
Specification, estimation, hypothesis testing
and prediction in the classical and generalized
linear regression model. Topics include:
ordinary least squares, generalized least
squares, instrumental variableestimation,
quantile regression, finite and large sample
analysis and general testing principles
including misspecification tests. The course
will also provide instructions on the use of a
major statistical packagesuch as Stata or TSP.
ECON 624 Econometrics II (3
credits)
Prerequisite: ECON 623 or permission of
department.
A continuation of ECON623. Topics include:
Nonlinear models and nonlinear estimation
methods (generalized method of moments and
maximum likelihood estimation), panel data
models, univariate dynamic models,
multivariate dynamic models including
simultaneous equation models, and non-
para metric /semiparame trie estimation
methods. The course will also provide
instructions on the use of a major statistical
package such as Stata or TSP.
ECON 625 Computational
Economics (3 credits)
Prerequisite: ECON 604 and ECON 622; or
ECON 721. Credit will be granted for only
one of the following: ECON 625 or ECON
698R. Formerly ECON698R.
A one-semester course designed to give
students tools for numerical dynamic
programming and computation of related
general equilibrium and game-theoretic
problems.
ECON 626 Empirical
Microeconomics (3 credits)
Prerequisite: ECON622, ECON624, or
ECON72 1 . For ECON majors only.
To provide students with the opportunity to
use empirical techniques that are particularly
valuable in the analysis of microeconomic
data. Topics include panel data, nonlinear
optimization, limited dependent variables,
truncated, censored, selected samples, the
analysis of natural experiments, and quantile
regressions. This course will emphasize hands-
on practical experience.
ECON 627 Empirical
Macroeconomics (3 credits)
Prerequisite: ECON 622 or ECON 721 or
permission of instructor.
Introduction to the solution, identification,
estimation, and evaluation of macroeconomic
models under rational expectations. Emphasis
is on those tools that allow researchers to
tightly link economic theory with econometric
methods. Hands-on application of these
techniques to empirical macroeconomic
problems (business cycles, growth,
consumption/ saving, investment), using time-
series and panel data.
ECON 630 Computational
Methods in Macroeconomics (3
credits)
Prerequisite: ECON601 and ECON602.
ECON majors only and non-ECON major
by permission of department.
Essential computational methods used in
macroeconomics. There will be particular
focus on approximating the solution to
dynamic stochastic general equilibrium
models. Methods for representative -agent and
heterogeneous -agent models will be
extensively studied. Econometric methods
such as Generalized Method of Moments,
Maximum Likelihood, Vector Autoregressions
wil also be covered.
ECON 651 Social Insurance (3
credits)
Prerequisite: ECON 604 and ECON 621; or
ECON 624. Credit will be granted for only
one of the following: ECON 651 or ECON
698S. Formerly ECON698S.
A one-semester graduate course that surveys
the theoretical and empirical literature on the
effects of social insurance on welfare, savings,
labor supply and its interaction with private
insurance markets. The main components of
social insurance, including old age benefits,
disability and unemployment insurances, and
sickness benefits are studied. However, the
course does not provide in-depth analysis of
health insurance or welfare programs, which
are themselves sufficiently complicated to be
topics of separate courses.
ECON 652 Public Economics I (3
credits)
Prerequisite: ECON 604 and ECON 621; or
ECON 624.
The characteristics and effects of government
programs whose role is redistribution and
social insurance are considered. Examples
include cash welfare assistance,
unemployment insurance, and Social Security.
The focus is on U.S. programs, though other
countries may be considered. Both theories of
program design and empirical research on
program effects will be covered. Topics in
empirical methodology generally will also be
stressed.
ECON 661 The Corporate Firm
(3 credits)
Prerequisites: ECON 603 and ECON 604.
This course examines firms' strategic behavior
in a variety of settings and considers theories
of the firm and industrial structure. Topics
may include product choice, quality,
advertising, consumer search and switch costs,
manufacturer- retailer relations, manufacturer-
supplier relations, vertical integration, and
alternative industrial structures.
ECON 662 Theories of Industrial
Organization (3 credits)
Prerequisites: ECON603 and ECON604.
Classical theories of industry organization are
analyzed. Topics include monopoly price
discrimination, product differentiation and
bundling as well as traditional oligopoly
models of Cournot and Bertrand are examined.
Dynamic models of oligopoly including entry
deterrence and collusion are discussed in
addition to games of research and
development. Long-run industry structures and
dynamics are also analyzed. Also investigates
implications of these models for antitrust
policy.
ECON 664 Empirical Studies in
Industrial Organization (3
credits)
Prerequisites: ECON 603, ECON 604, and
either ECON 621 or ECON 624.
Recommended: ECON 661. ECON 662,
ECON 626. Credit will be granted for only
one of the following: ECON 664 or ECON
698J. Formerly ECON698J.
Review recent empirical literature in industrial
organization. Covers price discrimination,
cartel and collusion, entry and market
structure, information and competition,
technological change and adoption, auction,
and firm organization.
ECON 665 Health Economics (3
credits)
Prerequisite: ECON603 and (ECON621 or
ECON624); or permission of department.
The determinants of health and how health
care markets operate are examined by utilizing
quantitative and analytic economic tools.
Topics covered include: measuring health
outcomes; the determinants of health; the
government control of unhealthy behavior; the
demand and supply of health insurance;
markets for medical care; social insurance
programs such as Medicare and Medicaid; the
causes and consequences of medical
innovation; the role of non-profits in health
care; medical malpractice; covering the
uninsured.
ECON 668 The Economics of
Retail Systems (3 credits)
Repeatable to 6 credits if content differs.
This course is designed mainly but not
exclusively for students in the third year of the
economics Ph.D program and for students at a
similar stage in a marketing program. Its main
objective is to help the student generate their
first professional research paper. In terms of
interests it targets those in the area of
microeconomics (advanced micro, industrial
organization, or more generally applied
microeconomics or micro aspects of any field).
The course will be conducted as a seminar.
ECON 681 Comparative
Institutional Economics I (3
credits)
Theory, empirics, and practice of economic
institutions. Genesis, functions, and effects of
institutions. Examinations of three major
institutions, property, contract, and
decentralization. Historical, cultural, political,
and economic origins of institutions. Case
studies from English history, comparative
legal studies, China, history of world
economic development, transition, and
socialism. Perspectives from law and
economics, contract theory, and information
theory.
ECON 682 Comparative
Institutional Economics II (3
credits)
A continuation of ECON 68 1 . A topics course
focusing on current developments in the
literature, such as legal origins, empirical
studies of the effects of institutions on trade,
development, finance, contract, and property,
culture as institution and institutional
determinant, theory and practice of
measurement of institutions, the design of
institutions, legal transplants.
ECON 698 Selected Topics in
Economics (3 credits)
ECON 701 Advanced
Macroeconomics I (3 credits)
Prerequisite: ECON 601; and ECON 602.
Recent developments in macroeconomics with
an emphasis on topics and techniques useful
for conducting research in macroeconomics.
354
Topics include advanced treatment of fiscal
and monetary policy issues; the role of
imperfect competition; real, sectoral and
nominal business cycle models.
ECON 702 Advanced
Macroeconomics II (3 credits)
Prerequisites: ECON601 andECON602.
Selected issues in monetary economics with an
equal emphasis of learning the models and
understanding important issues: a survey of
models (cash-in-advance, money-in-the-
utility-f unction, transaction cost, search-based
models), empirical issues in monetary
economics, business cycles and money,
monetary policy, welfare cost of inflation,
alternative media of exchange.
ECON 703 Advanced
Microeconomics I (3 credits)
Prerequisites: ECON 603 and ECON 604.
Formal treatment of game theory and its
microeconomic applications are presented,
emphasizing dynamics and information.
Equilibrium concepts for static and dynamic
games, and games with complete and
incomplete information are studied. Topics
also discussed: mechanism design, efficiency,
reputations, signaling, and screening.
ECON 704 Advanced
Microeconomics II (3 credits)
Prerequisites: ECON 603 and ECON 604.
This is the second half of a two-semester
sequence in Advanced Microeconomics,
intended for second-year Ph.D. students. The
course material varies from year-to-year, but
currently it focuses on auction theory,
matching theory, and the relationship between
matching and auction theory. Other topics that
are treated in some years include: sequential
bargaining under incomplete information; and
equilibrium refinements.
ECON 708 Advanced Topics in
Applied and Theoretical
Microeconomics (2 credits)
Prerequisite: completion of a one-year
graduate sequence in one of the
microeconomic fields. Repeatable to 12
credits if content differs.
Read, discuss, and analyze current topics in
microeconomics, including public economics,
environmental economics, labor economics,
industrial economics, microeconomic theory,
public choice and international trade. Specific
topics covered will change from semester to
semester depending on the students' and
faculty's interests. Intended primarily for
students beginning thesis research in
economics.
ECON 709 Advanced Topics in
Applied and Theoretical
Macroeconomics (2 credits)
Prerequisite: completion of a one-year
graduate sequence in one of the
macroeconomic fields. Repeatable to 12
credits if content differs.
Read, discuss, and analyze current topics in
macroeconomics, including asset pricing
models, models of economic growth,
investment, and the labor market. Specific
topics covered will change from semester to
semester depending on the students' and
faculty's interests. Intended primarily for
students beginning thesis research in
economics.
ECON 721 Econometrics III (3
credits)
Prerequisite: ECON 624 or permission of
department.
Oriented towards macro-econometric methods.
Topics covered will be selected from the
following: Further discussion of topics
covered in ECON624, nonlinear time series
models, exogeneity and causality, non-
stationary time series models (unit roots, co-
integration, error correction models, vector
autoregressive models), econometric models
of volatility (ARCH and GARCH models, and
Stochastic volatility models), rational
expectations models, non-stationary panel data
models, tests for structural change, Bayesian
econometrics and methods for Bayesian
computation.
ECON 722 Econometrics IV (3
credits)
Prerequisite: ECON624 or permission of
department.
Oriented towards micro-econometric methods.
Topics covered will be selected from the
following: Further discussion of topics
covered in ECON624, binary and multinomial
response models, censored and truncated
regression models, sample selection models,
count data models, duration models program
evaluation and treatment effects methods,
structural econometrics, the identification
problem, stratified and clustered samples,
spatial/cross sectional dependence models,
dynamic panel data models, weak instruments,
non-parametric estimation, boot strap and Jack
Knife methods, pre-test estimators.
ECON 723 Time Series
Econometrics (3 credits)
Prerequisite: ECON 622 or ECON 722 or
permission of instructor.
Provides a broad survey of the models and
methods commonly used in the analysis of
time series data. Emphasis on analyzing the
statistical properties of the methods being
discussed. Particular attention to recent
developments in time series econometrics.
ECON 741 Advanced
International Economics I (3
credits)
Prerequisite: ECON 601 or permission of
department.
Exchange rate determination; exchange rate
regimes; international monetary reform; policy
conflict and cooperation; the LDC debt
problem; pricing of international assets;
balance of payments crises.
ECON 742 Advanced
International Economics II (3
credits)
Prerequisite: ECON 603 or permission of
department.
Comparative advantage, Heckscher-Ohlin
theory, specific -factors model, empirical
verification, economies of scale, imperfect
competition, commercial policy, factor
mobility.
ECON 743 Topics in
International Finance (3 credits)
Prerequisite: ECON 602 or permission of
department. Recommended: ECON 741.
Puzzles in international finance; portfolio
balance, current account dynamics, exchange
rate behavior; capital market imperfections;
balance of payments crises.
ECON 744 Business Cycle
Theory of Emerging Economies
(3 credits)
Prerequisite: ECON 602 and ECON 604.
Credit will be granted for only one of the
following: ECON 698M or ECON 744.
Formerly ECON698M.
An advanced course in International
Economics that studies business cycle theory
for emerging economies. It develops a set of
quantitative tools for studying the
determinants of international capital flows and
their business cycle implications, with
emphasis on the "Sudden Stop" phenomenon
of emerging-markets crises. The course blends
elements of real business cycle theory,
international finance and equilibrium asset
pricing theory and it relies heavily on
recursive macroeconomic theory. Familiarity
with computing software and the techniques
covered in a course on computational
economics are also useful, but not required in
advance.
ECON 745 Advanced Topics in
International Trade (3 credits)
Prerequisite: ECON 604 and ECON 622; or
ECON 624. Credit will be granted for only
one of the following: ECON 698L or
ECON 745. Formerly ECON698L.
Designed primarily for students planning to
write dissertations on a topic related to
international trade. Its focus is on recent
research in this field including tests of trade
theories; the effects of trade on growth and
knowledge diffusion; the political economy of
trade policy and the theory and practice of
trade agreements.
ECON 747 The Macreconomics
of Imperfect Capital Markets (3
credits)
Prerequisite: ECON601 and ECON602, or
ECON603 and ECON604, or permission of
department. For ECON majors only. Credit
will be granted for only one of the
following: ECON698K or ECON747.
Formerly ECON698K.
After a brief overview of the micro-
foundations of capital market imperfections,
topics include limited commitment, the
financial accelerator, liquidity, bubles, crises,
the role of credit in monetary economics as
well as international capital flows.
ECON 751 Advanced Theory of
Public Finance (3 credits)
Prerequisites: ECON 603 and ECON 604.
Expenditure side of the public sector, and the
economics of state and local public finance.
Topics may include: normative theory of
public goods, private provision of public
goods, voting models, monopoly models of
government, demand revelation models,
growth of the public sector, externalities, in-
kind and cash transfers, the Tiebout model,
empirical studies of the demand and supply of
local public goods, and fiscal federalism.
ECON 752 Public Economics II
(3 credits)
Prerequisite: ECON 751.
Theoretical and empirical issues in taxation,
with particular emphasis on income taxation.
ECON 754 Topics in Political
Economy I (3 credits)
Prerequisites: ECON602 and ECON604 or
permission of department.
Study of political determinants of
macroeconomic outcomes. Time inconsistency
in monetary and fiscal policy, political
business cycles. Political models of
355
redistribution, delay in reform, transition,
growth, and international policymaking.
ECON 757 Topics in Political
Economy II (3 credits)
Prerequisite: ECON602, ECON604, or
permission of department. Recommended:
ECON754.
A continuation of ECON754 Topics in
Political Economy I. Topics will include: the
informational role of special interest groups;
campaign finance, including welfare analysis
of campaign finance reform; advanced models
of the political economy of redistribution, with
emphasis on inefficient redistribution,
intergenerational redistribution, and "pork
barrel" politics; fairness and redistributive
politics; the effects of alternative electoral
systems; theoretical models of parliamentary
democracies, government formation and
political parties; accountability of government
officials; and the political economy of
federalism.
ECON 771 Advanced Labor
Economics: Theory and
Evidence (3 credits)
Prerequisite: ECON 603, and (ECON 621,
or ECON 624) or permission of
department.
Modern analytical and quantitative labor
economics. Labor supply decisions of
individuals and households; human capital
model and distribution of income. Demand for
labor; marginal productivity theory, imperfect
information and screening. Interaction of labor
demand and supply; unemployment; relative
and absolute wages; macroeconomic aspects
of the labor market.
ECON 772 Population
Economics (3 credits)
Prerequisite: ECON 771 or permission of
department.
Covers the central ideas in population
economics. These include theories and test of
theories of mortality, fertility and immigration.
ECON 773 Econometric
Approaches for Research in
Applied Microeconomics (3
credits)
Prerequisite: ECON722; and at least one of
the following: ECON771 orECON772.
Duration models, propensity score matching,
regression discontinuity, weak instruments,
heterogenous treatment effect and other
advanced topics used in empirical
micoreconomics.
ECON 781 Environmental
Economics (3 credits)
Prerequisites: ECON 603 and ECON 604;
and (ECON 621 or ECON 624).
The study of economics as it applies to
environmental issues and policies. Topics
include: the theory of externalities and its
implications, the design of environmental
policies with applications, open-economy
environmental economics encompassing the
impact of international trade on the
environment and global environmental
management, and the measurement of the
benefits and costs of environmental programs.
ECON 785 Advanced Economics
of Natural Resources (3 credits)
Prerequisites: ECON 603 and ECON 604;
and (ECON 621 or ECON 624).
The use of exhaustible and renewable natural
resources from normative and positive points
of view. Analysis of dynamic resource
problems emphasizing energy, mineral,
groundwater, forestry, and fishery resources;
optimal, equilibrium, and intergenerational
models of resource allocation.
ECON 799 Master's Thesis
Research (1-6 credits)
ECON 808 Workshop on
Macroeconomics and Growth (2
credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
ECON 818 Workshop in
Microeconomic Theory (2
credits)
Repeatable to 12 credits if content differs.
Current research in microeconomic theory.
Topics drawn from game theory, mathematical
economics, and the economics of information
and will include applications of the theory to
diverse areas of economics. Specific topics:
bargaining, auctions, mechanism design,
signaling, general equilibrium, industrial
organization theory, and financial markets
theory.
ECON 825 Advanced Economic
Welfare Analysis (3 credits)
Prerequisites: ECON 603 and ECON 604,
or permission of department. Not open to
students who have completed AREC 825.
Credit will be granted for only one of the
following: ECON 825 or AREC 825.
Theory of economic welfare measurement,
problems of path dependence in evaluating
multiple price changes, welfare measurement
under risk, general equilibrium welfare
measurement with multiple distortions, and
applications in evaluation of agricultural and
resource policies.
ECON 828 Workshop in
Econometrics (2 credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
Current research in econometrics. Topics
drawn from theoretical and applied
econometrics. Special topics include:
maximum likelihood and generalized method
of moments estimation of linear and non-linear
models, analysis of stationary and non-
stationary time series, cross section time series
estimation, spatial estimation mehtods,
Bayesian methods, semi-and non-parametic
methods, rational expectations models,
numerical methods, and various applications.
ECON 848 Workshop in
International Development, and
Comparative Economics (2
credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
ECON 858 Workshop in Public
Economics (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
ECON 868 Workshop in
Industrial Organization (2
credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
ECON 878 Workshop in Labor
Economics (2 credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
ECON 888 Workshop in
Comparative Institutional
Economics (2 credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
Current research in institutional economics
and closely related fields such as economic
transition, economic development, economic
theory, law and economics, political
economics, and economic history. Topics are
drawn from both theoretical analysis of
institutions and empirical studies of the effects
and determinants of institutions.
ECON 898 Pre-Candidacy
Research (1-8 credits)
ECON 899 Doctoral Dissertation
Research (1-8 credits)
Curriculum and
Instruction (EDCI)
EDCI 400 Field Experience in Art
Education (1 credits)
Four hours of laboratory per week.
Prerequisites: permission of department.
For Art Education majors.
Practical classroom experience in
teaching/evaluating/exhibiting the products of
art lessons.
EDCI 401 Student Teaching in
Elementary School: Art (4-8
credits)
Prerequisites: admission to teacher
education program; 2.5 GPA; permission of
department; and EDCI300. For art
education majors only.
EDCI 402 Student Teaching in
Secondary Schools: Art (2-8
credits)
Prerequisites: admission to teacher
education program; 2.5 GPA; permission of
department; EDCI300. For art education
majors only.
EDCI 403 Teaching Art Criticism
and Aesthetics (3 credits)
Three hours of discussion/recitation per
week. For art education majors only.
Prerequisite: admission to teacher
education program; 2.5 GPA; ARTH200
andARTH201.
Introduction to the teaching of art criticism
and aesthetics in K-12 ait education programs.
Trips to galleries and museums.
EDCI 404 Student Teaching
Seminar: Art Education (3
credits)
Prerequisite: Admission to Teacher
Education Program; 2.5 GPA: and
EDCI300, EDCI400, EDCI405.
Corequisite: EDCI401 and EDCI402. For
art education majors only.
An analysis of teaching theories, strategies,
and techniques in the student teaching
experience.
EDCI 405 Art Education
Methods I (3 credits)
Two hours of lecture and one hour of
laboratory per week. Prerequisite:
admission to teacher education program;
2.5 G.P.A.; and permission of department.
For education majors only. Credit will be
granted for only one of the following:
EDC1300 or EDCI405. Formerly
356
EDCI300.
Methods I provides future art teachers with a
knowledge base of the theories and best
practices of effective pedagogy for: teaching
methods and strategies, diversity, motivational
techniques, classroom management,
assessment and evaluation methods, and
accommodating all students including those
with special needs.
EDCI 406 Technology and Two-
Dimensional Art (3 credits)
Two hours of laboratory and two hours of
discussion/recitation per week.
Prerequisite: admission to teacher
education program; 2.5 GPA; ARTT210;
and permission of department. Junior
standing.
A discussion/studio format used to develop
skills, materials, resources and education
strategies for using technology and two-
dimensional art in K-12 programs.
EDCI 407 Practicum in Art
Education: Three-Dimensional
(3 credits)
For pre-art education and art education
majors only.
A lecture-studio course to develop skills,
material resources, and educational strategies
for three-dimensional projects in school
settings.
EDCI 410 Methods I: K-12
Foreign Language Methods and
Technology (3 credits)
Prerequisite: 2.5 G.P.A.; and permission of
department. Not open to students who have
completed EDCI330. Credit will be granted
for only one of the following: EDC1330 or
EDCI410. Formerly EDC1330.
The first of two sequential courses required for
achieving competence in teaching a foreign
language. The sequel to this course is
EDCI433 (Methods II) entitled: Advanced K-
12 Foreign Language Methods and
Technology. EDCI410 requires on-going
examination of theories relevant to language
acquisition. Students will also investigate the
instructional methods that reflect those
theories.
EDCI 411 Knowledge,
Reasoning, and Learning in
Science (3 credits)
Prerequisite: 2.5 GPA; and permission of
department. Junior standing. Credit will be
granted for only one of the following:
EDCI370 or EDCI41 1 . Formerly
EDCI370.
For prospective science teachers.
Investigations of the nature of knowledge,
reasoning, and learning in middle and
secondary science. Readings from cognitive
science and science education research; studies
of student thinking in interview and classroom
observations; analyses of curricula. Includes
laboratory and field experiences.
EDCI 412 Learning and
Teaching in Science (3 credits)
Prerequisite: admission to teacher
education program; and 2.5 GPA; and
EDCI469. For prospective science
teachers.
Studies of student learning and instructional
practices in science. Readings from current
research in science education. Includes
laboratory/field experiences .
EDCI 413 Interdisciplinary
Teaching in the Middle Grades I
(2 credits)
Prerequisite: EDCI457 and EDCI41 1 ; or
permission of instructor. Corequisite:
EDCI360 and EDCI424. Admission to the
teacher education program and 2.5 GPA.
For prospective middle school teachers.
Studying and planning interdisciplinary
instructional practices in middle school.
Utilizes context and experiences from
students' field placements. Use of technology
and incorporation of technology into
instruction.
EDCI 414 Interdisciplinary
Teaching in the Middle Grades II
(2 credits)
Prerequisite: EDCI360 and EDCI413.
Corequisite: EDCI425 and EDCI460.
Admission to teacher education program
and 2.5 GPA.
For prospective middle school teachers.
Planning and implementing interdisciplinary
instructional practices in middle school. Draws
on the context of and experiences in the
student teaching placement. Use of technology
and incorporation of technology into
instruction.
EDCI 416 Curriculum and
Instruction in Secondary
Education: English Speech
Theatre (3 credits)
Prerequisites: admission to teacher
education program; 2.5 GPA; and
permission of department. Credit will be
granted for only one of the following:
EDCI340 or EDCI416. Formerly
EDCI340.
An introduction for prospective middle and
secondary English teachers into the basic
issues, concepts, orientations, and processes
that shape the teaching of English for diverse
students in schools. Candidates explore their
own perspectives in relation to local and
national trends and develop basic teaching
understanding and skills through on-campus
seminars, teaching laboratory experiences, and
guided field experiences.
EDCI 417 Bases for English
Language Instruction (3 credits)
Prerequisite: admission to teacher
education program; EDHD413; and
EDHD420.
Provides students with knowledge of current
theory, research, and pedagogy focused on the
teaching of English to English language
learners. Topics include morphology, syntax,
semantics, vocabulary, pragmatics, arguments,
discourse structure, and English language
usage. Exceptional student, inclusion, and
diversity issues will be considered.
EDCI 420 Student Teaching
Seminar in Secondary
Education: Social Studies (1
credits)
Prerequisite: 2.5 GPA; and EDCI426 and
EDCI427. Corequisite: EDCI421 or
EDCI422.
An analysis of teaching theories, strategies,
and techniques in the student teaching
experience.
EDCI 421 Student Teaching in
Secondary Schools: Social
Studies/History (12 credits)
Prerequisites: admission to teacher
education program; 2.5 GPA; permission of
department. Corequisite: EDCI420.
EDCI 422 Student Teaching in
Secondary Schools: Social
Studies/Geography (12 credits)
Prerequisite: EDCI321. Corequisite:
EDCI420.
EDCI 423 Art Education
Methods II (3 credits)
Prerequisite: admission to teacher
education program; 2.5 G.P.A.; and
EDCI405 or equivalent. Corequisite:
EDCI400. For art education majors only.
Methods II builds upon the pedagogical
foundation of Methods I and provides future
art teachers with the means for developing pre
K-12 ait lessons and unit plans for a balanced
qualitative art program for today's diverse and
inclusive schools and classrooms.
EDCI 424 Equitable Classrooms
(2 credits)
Prerequisite: EDCI297. EDCI411, and
EDCI457. Corequisite: EDCI360 and
EDCI413. Admission to the teacher
education program and 2.5 GPA.
An exploration and application of major
theoretical frameworks surrounding equity and
critical pedagogy. Creating habits of mind that
help teachers see all students as capable of
achieving at high levels. Draws on the
concurrent field experience.
EDCI 425 Equity and Pedagogy
(2 credits)
Prerequisite: EDCI424. Corequisite:
EDCI414 and EDCI460. Admission to
teacher education program and 2.5 GPA.
An exploration and application of major
theoretical frameworks surrounding equity and
critical pedagogy. Pedagogical decision
making that leads to greater equity and
improved student learning for all students.
Draws on the concurrent student teaching
experience.
EDCI 426 Knowledge,
Reasoning, and Learning in
Secondary Social Studies (3
credits)
Prerequisite: Admission to teacher
education program; or permission of
department.
An exploration of the nature of knowledge and
reasoning in social studies disciplines as well
as how students learn social studies.
Assessment and investigation of students'
conceptions and misconceptions as well as
their disciplinary thinking. Implications for
teaching and initial lesson design.
EDCI 427 Curriculum, Teaching,
and Assessment in Secondary
Social Studies (3 credits)
Prerequisite: Admission to teacher
education program; EDCI426; EDHD413.
Corequisite: EDCI428. For education
majors only.
An exploration of curriculum development,
teaching and assessment in social studies.
Focus on identifying students' conceptions of
social studies topics and designing lessons that
advance students' disciplinary thinking and
understanding.
EDCI 428 Field Experience in
Secondary Social Studies
Teaching (1 credits)
357
Three hours of laboratory per week.
Prerequisites: admission to teacher
education program; 2.5 GPA; and
permission of department. Corequisite:
EDCI427. For education majors only.
Practical experience as an aide to a regular
social studies teacher; assigned responsibilities
and participation in a variety of
teaching/learning activities.
EDCI 430 Student Teaching
Seminar in Secondary
Education: Foreign Language (1
credits)
Prerequisite: 2.5 GPA; and EDCI410; and
EDCI433. Corequisite: EDCI431.
An analysis of teaching theory, strategies and
techniques in the student teaching experience.
EDCI 431 Student Teaching in
Secondary Schools: Foreign
Language (12 credits)
Prerequisites: admission to teacher
education program; and 2.5 GPA; and
permission of department; and EDCI330.
Corequisite: EDCI430.
EDCI 432 Issues in the
Education of English Language
Learners (3 credits)
Credit will be granted for only one of the
following: EDCI432 or EDCI488Q.
Formerly EDCI488Q.
Analysis of current research, practice, trends,
and public policy issues in education as they
relate to English language learners in K-12
settings.
EDCI 433 Advanced K-12
Foreign Language Methods and
Technology (3 credits)
Prerequisites: EDCI330, EDHD413,
EDHD420 and permission of department.
Corequisite: EDCI438. For EDCI majors
only.
Teaches advanced best practices for effective
foreign language instruction. Topics include:
using authentic assessment and materials,
applying national standards, teaching writing
and culture, motivating students, providing
strategy instruction, infusing technology,
preparing for K-12 employment, and creating
a professional portfolio. Field experience (in
co-requisite EDCI438) focuses on middle and
high school.
EDCI 434 Pedagogy of Teaching
English Language Learners (3
credits)
A survey of the historical and current
approaches, methods, and techniques of
teaching English to speakers of other
languages from grammar translation to audio-
lingual to communicative approaches.
Additionally, successful classroom practices
that address the needs of culturally diverse and
language minority students will be analyzed.
EDCI 435 Teaching English
Language Learners Reading and
Writing in the Secondary
Content Areas (3 credits)
Analysis of approaches to curriculum, current
research, theory, and pedagogy of reading and
writing to second language students from
diverse cultural and linguistic backgrounds.
State Approved. Required for TESOL
certification program.
EDCI 436 Understanding Cross-
Cultural Communication for
Teaching English Language
Learners (3 credits)
Credit will be granted for only one of the
following: EDCI436 or EDCI488T.
Formerly EDCI488T.
Understanding cultural issues in English
Language Learner classes; techniques and
resources for addressing such issues.
EDCI 437 English Grammar
Pedagogy for Teachers of
English Language Learners (3
credits)
Credit will be granted for only one of the
following: EDCI437 or EDCI488P.
Formerly EDCI488P.
Methods of teaching English grammar to
English language learners. The role of
teaching grammar. Effective methods and
techniques for incorporating grammar in other
communication activities.
EDCI 438 Field Experience in
Second Language Education (1
credits)
Four hours of laboratory per week.
Prerequisites: permission of department.
Corequisite: EDCI330. For Second
Language Education majors only.
Repeatable to 3 credits if content differs.
Practical experience as an aide to a regular
foreign language teacher; assigned
responsibilities and participation in a variety
of teaching/learning activities.
EDCI 440 Student Teaching
Seminar in Secondary
Education: English, Speech,
Theatre (1 credits)
Prerequisites: admission to teacher
education program; 2.5 GPA; EDCI417.
Corequisite: EDCI441.
An analysis of teaching theories, strategies and
techniques in relation to the student teaching
experience.
EDCI 441 Student Teaching in
Secondary Schools: English (12
credits)
Prerequisites: admission to teacher
education program; and EDCI417.
Corequisite: EDCI440.
Practical experience as an aide to a regular
English, speech or drama teacher; assigned
responsibilities and participation in a variety
of teaching/learning activities.
EDCI 442 Student Teaching in
Secondary Schools:
Speech/English (12 credits)
Prerequisites: admission to teacher
education program; and EDCI417.
Corequisite: EDCI440.
Practical experience as an aide to a regular
English, speech or drama teacher; assigned
responsibilities and participation in a variety
of teaching/learning activities.
EDCI 443 Literature for Children
and Youth (3 credits)
For elementary education and pre-
elementary education majors only.
Analysis of literary materials for children and
youth. Timeless and ageless books, and
outstanding examples of contemporary
publishing. Evaluation of the contributions of
individual authors, illustrators and children's
book awards.
EDCI 447 Field Experience in
English, Speech, Theatre
Teaching (1 credits)
Prerequisites: admission to teacher
education program; 2.5 GPA. Corequisite:
EDCI417. For education majors only.
Practical experience as an aide to a regular
English, speech or drama teacher; assigned
responsibilities and participation in a variety
of teaching/learning activities.
EDCI 448 Student Teaching in
Secondary Schools:
Theatre/English (12 credits)
Prerequisites: admission to teacher
education program; and EDCI417.
Corequisite: EDCI440.
Practical experience as an aide to a regular
English, speech or drama teacher; assigned
responsibilities and participation in a variety
of teaching/learning activities.
EDCI 450 Student Teaching
Seminar in Secondary
Education: Mathematics (1
credits)
Prerequisites: admission to teacher
education program; 2.5 GPA; EDCI457;
and EDCI455 or EDCI651. Corequisite:
EDCI451 andEDCI474..
An analysis of teaching theories, strategies and
techniques in the student teaching experience.
EDCI 451 Student Teaching in
Secondary Schools:
Mathematics (12 credits)
Prerequisites: admission to teacher
education program; 2.5 GPA; permission of
department. Corequisite: EDCI450.
EDCI 455 Methods of Teaching
Mathematics in Secondary
Schools (3 credits)
Prerequisite: 2 semesters of calculus.
Objectives, selection and organization of
subject matter, appropriate methods, lesson
plans, textbooks and other instructional
materials, measurement, and topics pertinent
to mathematics education.
EDCI 457 Teaching and
Learning Middle School
Mathematics (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisites:
admission to teacher education program or
permission of department; 2.5 GPA; and
permission of department for post-
baccalaureate students.
Methods of teaching and assessing the middle
school mathematics curriculum.
Understanding the conceptual difficulties
students have in moving from whole numbers
to rational numbers, additive thinking to
multiplicative thinking, and arithmetic to
algebra. Lesson planning and selection of
technology and other materials are applied in
the context of supervised tutoring of students
having difficulty in middle school
mathematics.
EDCI 460 Student Teaching:
Middle School (12 credits)
Prerequisite: EDCI413. Corequisite:
EDCI414 and EDCI425. For Middle
School Education majors only; 2.5 GPA;
permission of department.
358
A Middle -school student teaching experience
in two content areas.
EDCI 461 Materials and
Instruction for Creating Skilled
and Motivated Readers, Part I (3
credits)
Prerequisite: permission of department. For
Elementary Education majors only. Junior
standing.
Selecting, evaluating, and using a variety of
materials to create skilled and motivated
readers in the elementary grades; Topics
include emergent literacy, vocabulary
development, reading comprehension and oral
reading fluency in diverse classroom settings.
EDCI 462 Materials and
Instruction for Creating Skilled
and Motivated Readers, Part II (3
credits)
Prerequisite: admission to teacher
education program; 2.5 GPA; EDCI361 or
EDCI461; ED397; and permission of
department. Corequisite: EDC1322,
EDCI342, EDCI352, and EDCI372.
Elementary Education majors only.
Selecting, evaluating, and using a variety of
materials to create skilled and motivated
readers in the elementary grades, particularly
in diverse classroom settings; Topics include
word analysis, spelling, writing, reading
comprehension strategies, directed reading
lessons, and explicit instruction.
EDCI 463 Reading in the
Secondary School (3 credits)
Prerequisites: admission to teacher
education program; and 2.5 GPA; or
permission of department required for post-
baccalaureate students. For education
majors only.
Provides secondary school teachers with
understanding the need for and approaches to
teaching students to read and learn from
content area texts.
EDCI 464 Assessment for
Reading (3 credits)
Prerequisite: EDCI362. For Elementary
Education or Early Childhood Education
majors only. Senior standing.
Examination of reading assessment theory,
materials and procedures; Topics include
validity and reliability in reading assessment,
formal and informal assessment, reading
instruction that is informed by ongoing
assessment, and the effects of assessment on
students and schooling in a diverse society.
EDCI 465 Teaching Reading in
Middle School Content Areas (3
credits)
Prerequisite: Admission to a teacher
education program; 2.5 GPA; or permission
of department. Credit will be granted for
only one of the following: EDCI463 or
EDCI465.
Provides middle school teachers with
understanding the need for and approaches to
teaching students to read and learn from
information texts in various content areas.
EDCI 466 Literature for
Adolescents (3 credits)
Prerequisites: admission to teacher
education program; 2.5 GPA. permission of
department required for post-baccalaureate
students. For education majors only.
Reading and analysis of fiction and nonfiction;
methods for critically assessing quality and
appeal; current theory and methods of
instruction; research on response to literature;
curriculum design and selection of books.
EDCI 467 Teaching Writing (3
credits)
Prerequisite: permission of department.
Sources and procedures for developing
curriculum objectives and materials for
teaching written composition; prewriting,
composing, and revision procedures;
contemporary directions in rhetorical theory;
survey of research on composition instruction.
EDCI 470 Learning and
Teaching in Science (3 credits)
Prerequisites: Admission to the Science
Education Program and EDCI41 1 or
permission of instructor.
Studies of student learning and instructional
practices in science teaching.
EDCI 471 Student Teaching in
Secondary Schools: Science (12
credits)
Prerequisites: admission to teacher
education program; 2.5 GPA; permission of
department; and EDC1370. Corequisites:
EDCI371 andEDC1470.
EDCI 474 Inclusion, Diversity,
and Professionalism in
Secondary Education (2
credits)
Prerequisite: admission to teacher
education. Corequisite: enrolled in student
teaching/certification area. For secondary
education majors only.
Cross disciplinary capstone course for
Secondary Education majors. Discussion and
analysis of critical issues relevant to teaching:
inclusion, diversity, professionalism, English
language learners, school politics, social
justice, school-community relations, and
parent engagement.
EDCI 480 Practices in
Secondary School Science
Teaching (2 credits)
Prerequisite: Admission to teacher
education program; EDCI470. Corequisite:
EDCI47 1 . Not open to students who have
completed EDCI488J. Credit will be
granted for only one of the following:
EDCI480 or EDCI488J. Formerly
EDCI488J.
For prospective science teachers. Analyses of
student thinking, instructional interpretations,
strategies, and techniques in student teaching.
EDCI 481 Student Teaching:
Elementary (12 credits)
Prerequisites: admission to teacher
education program; 2.5 GPA; permission of
department; EDCI322; and EDCI342;
EDCI352; EDCI362; and EDCI372.
Corequisite: EDCI464.
EDCI 485 Student Teaching in
Elementary School: Physical
Education (4-8 credits)
For EDCI majors only.
Fulfills elementary teaching requirements in
K-12 physical education programs.
EDCI 488 Selected Topics in
Teacher Education (1-3 credits)
Prerequisite: EDCI major or permission of
department. Repeatable to 6 credits if
content differs.
EDCI 489 Field Experiences in
Education (1-4 credits)
Prerequisite: permission of department.
Corequisite: EDCI497. Repeatable to 4
credits.
EDCI 495 Student Teaching in
Secondary Schools: Physical
Education (2-8 credits)
For EDCI majors only.
EDCI 497 The Study of Teaching
(3 credits)
Prerequisite: EDCI481. Corequisite:
EDCI489.
Identification and examination of learner and
teacher outcome variables related to teaching
systems, methods, and processes. Methods of
conducting classroom research.
EDCI 498 Special Problems in
Teacher Education (1-6 credits)
Prerequisite: permission of department. For
EDCI majors only. Repeatable to 6 credits.
Individual study of approved problems.
EDCI 499 Workshops, Clinics,
and Institutes (1-6 credits)
Repeatable to 6 credits.
The following types of educational enterprise
may be scheduled under this course heading:
workshops conducted by the College of
Education (or developed cooperatively with
other colleges and universities) and not
otherwise covered in the present course listing;
clinical experiences in pupil testing centers,
reading clinics, speech therapy laboratories,
and special education centers; institutes
developed around specific topics or problems
and intended for designated groups such as
school superintendents, principals and
supervisors.
EDCI 588 Special Topics in
Curriculum & Instruction (1-3
credits)
This course is not appliciable for credit in
any UM graduate degree program.
Repeatable to 6 credits if content differs.
Current topics and issues in teaching.
Workshops and seminars that address
professional topics and issues in curriculum,
teaching, and learning in schools.
EDCI 600 Trends in Art
Education Curriculum (3
credits)
Recent developments in art education.
EDCI 601 History of Art
Education (3 credits)
Perspective on art education philosophy as
viewed through an historical survey.
EDCI 602 The Teaching of
Aesthetics in the Public Schools
(3 credits)
Critical investigation of art, and curriculum
implications.
EDCI 603 Integrated Art
Methods (3 credits)
Prerequisite: EDCI680 or EDCI405. For
EDCI majors only. Credit will be granted
for only one of the following: EDCI423,
EDCI603, or EDCI688D. Formerly
EDCI688D.
Builds upon the pedagogical foundation of an
initial art education methods course and
provides future art teachers with the means for
developing PreK-12 art lesson/unit plans for a
balanced qualitative art program for today's
359
diverse and inclusive schools/classrooms.
Integratin g art with other subject areas.
EDCI 604 Learning and
Teaching in the Physical
Sciences I (3 credits)
Three hours of lecture per week.
Prerequisite: Enrollment in an EDCI
Outreach Program in science education or
an EDCI M. Ed. Program; or permission of
instructor. Credit will be granted for only
one of the following: EDCI604 or
EDCI688F. Formerly EDCI688F.
Engagement in laboratory and inquiry-based
methods to develop coherent understandings
about the physical world and explore issues in
the physical sciences. Personal engagements
with phenomena and reflection on the learning
and instructional experiences.
EDCI 605 Learning and
Teaching in the Physical
Sciences II (3 credits)
Prerequisite: EDCI604 or permission of
instructor.
A second course in a sequence using
laboratory and inquiry-based methods to study
physical science learning and teaching.
Candidates will move toward more
sophisticated understandings of
elementary/ middle school curriculum topics in
the physical sciences. Personal engagement
with phenomena and reflection on the learning
and instructional experiences.
EDCI 606 Learning and
Teaching Biological Sciences (3
credits)
Three hours of lecture per week.
Prerequisite: Enrollment in an EDCI
Outreach Program in science education or
an EDCI M. Ed. Program; or permission of
instructor.
Engagement in laboratory and inquiry-based
methods to develop coherent understandings
about the natural world and explore issues
learning in biology. Personal engagement with
phenomena and reflection on the learning and
instructional experiences.
EDCI 607 Learning and
Teaching in the Biological
Sciences II (3 credits)
Three hours of lecture per week.
Prerequisite: EDCI606 or permission of
instructor,
A second course in a sequence using
laboratory and inquiry-based methods to study
learning and teaching in biology. Candidates
will move toward more sophisticated
understandings of elementary/ middle school
curriculum topics in the life sciences. Personal
engagement with phenomena and reflection on
the learning and instructional experiences.
EDCI 611 Studying Student
Learning in Diverse Settings (3
credits)
This course deepens teacher understanding of
student development and the cultural context
for teaching through readings and focused
field studies. Participants will also begin
developing skills needed for investigations
using methods of interpretive inquiry.
EDCI 612 Assessing Student
Learning and Development (3
credits)
Credit will be granted for only one of the
following: EDCI 612 or EDCI 788S.
Formerly EDCI788S.
Prepares experienced teachers to assess
student knowledge, strategies and skills over
time so that they can design instruction that
builds on student strengths and addresses
student needs. Teachers will study the
purposes of assessment including school and
student needs. Teachers will study the
purposes of assessment including school and
teacher accountability, student placement,
course grade assignment and instructional
design. They also will explore types of formal
and informal assessment, curriculum-based
and curriculum-free assessment, external and
teacher made assessment.
EDCI 613 Practice and Theory in
Teaching Second Language
Learners (3 credits)
Corequisite: EDCI637 or EDCI689; or
permission of department. Credit will be
granted for only one of the following:
EDCI613 or EDCI688B. Formerly
EDCI688B.
Focuses on issues that arise while teaching
second language (ESOL or foreign language)
learners. Supports implementation of theory
into practice and research-based best practices
during teaching internship, and completion of
teaching portfolio during a second field
experience.
EDCI 614 Developing a
Professional Portfolio (3
credits)
Students will examine issues of performance
assessment and develop professional portfolios
following the guidelines established by the
National Board of Professional Teaching
Standards. Drawing on the research data
collected throughout their program and relying
on inquiry, reflections, and analysis, they will
synthesize and present the body of their
teaching experience.
EDCI 618 Proseminar for
Teaching Internship (1-3
credits)
Prerequisite: Admission to a masters
certification program in EDCI. Corequisite:
Participation in an arranged school
placement. Formerly EDCI688E.
Supports and complements candidates'
internship experiences. Extends skills in
implementing less plans (lesson planning),
culturally competent teaching, classroom
management, issues of professionalism, and
protfolio development.
EDCI 620 Trends in Secondary
School Curriculum: Social
Studies (3 credits)
Recent developments in educational thinking
and practice on the curriculum in social
studies.
EDCI 622 Teaching Social
Studies in Elementary Schools
(3 credits)
Examination of current literature and research
in the social sciences as they relate to social
studies curriculum and instruction.
EDCI 627 Secondary Social
Studies Pedagogy (3 credits)
Prerequisite: EDCI426 01EDCI68O. Credit
will be granted for only one of the
following: EDCI627 orEDCI788Y.
Formerly EDCI788Y.
The second course in a three-course teaching
methods sequence. Addresses two key
questions: (1) How do secondary school
students think about and learn history /social
studies? (2) How can secondary teachers teach
history/social studies in ways that promote
student learning?
EDCI 630 Foundations of
Second Language Education:
Legal, Social and Historical
Trends and Issues (3 credits)
Knowledge of history, research, current
practice and public policy issues in the field of
second languague education from kindergarten
to post-secondary settings. Required for
TESOL certification program.
EDCI 631 Student Assessment
in the Second Language
Classroom (3 credits)
Analysis of standardized and teacher-made
FL/ESL tests; emphasis on principles of
FL/ESL test construction. Field testing of
commercial and teacher-made materials.
EDCI 632 Special Education and
Oral Language Development in
TESOL (3 credits)
Credit will be granted for only one of the
following: EDCI 632 or EDCI 788L.
Formerly EDCI788L.
Understanding of pre-referal, referal,
assessment and identification process, as well
as instruction of English Language Learners
with learning disabilities. Required for TESOL
Certification program.
EDCI 633 Teaching for Cross
Cultural Communication (3
credits)
Techniques and content for teaching in foreign
language classes, and English as a second
language (ESL) classes. Research and
evaluation of selected aspects of a culture as
basis for creating teaching materials.
EDCI 634 Methods of Teaching
ESOL (3 credits)
This course presents a survey of the historical
and current approaches, methods, and
techniques of teaching English to speakers of
other languages, from grammar to translation
to audiolingual and communicative
approaches. Additionally, successful
classroom practices that address the needs of
culturally diverse and language minority
students will be analyzed.
EDCI 635 English Grammar for
Teachers of English to Speakers
of Other Languages (3 credits)
Prerequisite: permission of department.
English grammar and methods of teaching
grammar for graduate, prospective and current
teachers of English to speakers of other
languages. Analysis of the major grammatical
structures of American English. Discussion of
the role of teaching grammar, and effective
classroom methods and techniques for the
English as a second/foreign language
classroom.
EDCI 636 Teaching ESOL
Reading and Writing in the
Elementary Classroom Areas (3
credits)
Prerequisite: EDCI 634.
Analysis of elementary school classroom
culture, social contexts, and instructional
strategies which foster language development
in elementary school content areas.
360
EDCI 637 Advanced Laboratory
Practice in Foreign
Language/TESOL Education (2-6
credits)
Prerequisites: EDCI 434; and EDCI 634; or
permission of department.
Supervised internship in TESOL setting.
EDCI 638 Teaching ESOL
Reading and Writing in
Secondary Content Areas (3
credits)
Prerequisite: EDCI 634.
Analysis of approaches to curriculum, current
research, theory and pedagogy of reading and
writing to second language students from
diverse cultural and linguistic backgrounds.
Required for TESOL certification.
EDCI 640 Trends in Secondary
School Curriculum: English (3
credits)
Recent developments in educational thinking
and practice on the curriculum in English
education.
EDCI 642 Communications and
the School Curriculum (3
credits)
Curriculum development based on
communication as the major vehicle for
describing the learner's interactions with
persons, knowledge, and materials in the
classroom and school environment.
EDCI 643 Teaching Language
Arts in Elementary Schools (3
credits)
Analysis of current issues, trends, and
problems in language-arts instruction.
EDCI 644 Issues and Trends in
Children's Literature (3 credits)
Contemporary social conditions and problems,
trends in publishing, advertising, censorship,
media adaptation, and reading habits.
EDCI 645 Teaching and
Learning Geometry in the
Middle Grades (3 credits)
Prerequisite: Admssion to M.A. or M.Ed,
with concentration in Mathematics
Education or permission of department.
Credit will be granted for only one of the
following: EDCI645 orEDCI688C.
Formerly EDCI688C.
Designed to enhance both the pedagogical and
geometric content knowledge of middle school
mathematics teachers.
EDCI 646 Coaching and
Mentoring Teachers: Literacy
Across Content Areas (3
credits)
Prerequisite: Enrolled in EDCI post-
baccalaureate certificate Program in
Literacy coaching; EDCI M.Ed. Program;
and permission of instructor. Credit will be
granted for only one of the following:
EDCI646 or EDCI788M. Formerly
EDCI788M.
Provides knowledge on coaching and
mentoring teachers in school district and
school settings based on Standards for Middle
and High School Literacy Coaches as well as
current theory, research and best practice
supporting the efficacy of literacy coaching.
Emphasis on understanding reading
process/strategy instruction; writing process/
strategy instruction; and gathering and
interpreting valid and reliable assessment data
for creating district-wide and school-based
literacy intervention plans.
EDCI 650 Trends in Mathematics
Education (3 credits)
Recent developments in educational thinking
and practice which have affected the
curriculum in mathematics.
EDCI 651 Teaching and
Learning Mathematics in
Secondary Schools (3 credits)
Prerequisite: Enrollment in a University of
Maryland program leading to teacher
certification; bachelor's degree in
mathematics or related field; and 2
semesters of calculus.
Objectives, selection and organization of
subject matter, appropriate methods, lesson
plans, textbooks, technology and other
instructional materials; assessment of student
learning and other topics pertinent to
secondary mathematics education. Internship
of other placement in a secondary mathematics
classroom is required.
EDCI 652 Teaching and
Learning Mathematics in the
Elementary School (3 credits)
Prerequisite: MATH212; MATH213,
MATH214 or equivalent.
Strategies and methodologies for the teaching
of elementary school mathematics based on
current research and theories about how
children learn mathematics. Attention is given
to professional recommendations and teaching
practices that foster communication,
reasoning, and reflection in the mathematics
classroom. Internship or other placement in an
elementary school is required.
EDCI 653 Problem-Solving and
Innovative Thinking in the
Mathematics Classroom (3
credits)
15 hours of lecture per week. Prerequisite:
EDCI352 or EDCI457 or equivalent;
experience teaching mathematics K-12.
Curriculum and instruction for developing
thinking skills through the discipline of
mathematics. This is a hybrid course designed
to blend on-campus class meetings with online
experiences.
EDCI 654 Assessing
Mathematical Understanding (3
credits)
Prerequisite: EDCI 650 or permission of
department.
Techniques of assessing k-12 students'
understanding of mathematics - including
standardized tests, but focusing on alternative
forms such as individual interviews, writing
tasks, performance tasks, portfolios.
Mathematics assessment viewed as an ongoing
part of instruction.
EDCI 655 Teaching and
Learning Algebra in the Middle
School (3 credits)
Prerequisite: Admission to M.A. or M.Ed,
with concentration in Mathematics
Education or permission of department.
Designed to enhance middle school
mathematics teachers' content and pedagogical
knowledge in algebra.
EDCI 656 Teaching and
Learning Statistics in the Middle
School (3 credits)
Prerequisite: Admission to M.Ed, or M.A.
program in EDCI with concentration in
Mathematical Education.
Designed to enhance both the pedagogical and
statistical/data analysis content knowledge of
middle school mathematics teachers.
EDCI 657 Understanding and
Engaging Students'
Conceptions of Mathematics (3
credits)
Prerequisite: Experience in teaching math
or permission of department.
Research related to K-14 students' common
errors in and (mis) understandings of
mathematics. Instructional strategies useful in
building on errors and changing students'
conceptions.
EDCI 660 Foundations of
Reading (3 credits)
Prerequisite: EDCI362 orEDCI463 or
equivalent.
Broad and comprehensive overview of reading
and literacy and factors that may influence
effective reading practices such as instruction,
classroom environment and individual
differences. Focus on different knowledge
domains and traditions of inquiry related to
reading and reading instruction.
EDCI 661 Content Area Reading
(3 credits)
Prerequisite: EDCI 362 or EDCI 463 or
equivalent.
Research-based strategies for improving
reading to learn in the content areas (K-12).
EDCI 662 Diagnostic Reading
Assessment and Instruction (3
credits)
Prerequisite: permission of department.
Survey course in diagnostic reading
assessment and instruction for graduate
students not majoring in reading.
EDCI 663 Understanding,
Evaluating and Using Research
in School Reading Programs (3
credits)
Prerequisite: EDCI660.
Focus on understanding, critiquing, and
applying reading/literacy research; reviewing
research to improve practice; analyzing data at
the district, school, classroom, and student
levels to improve reading instruction.
EDCI 664 Clinical Assessment in
Reading (3 credits)
Prerequisite: EDCI661 and EDCI663; or
permission of department.
Clinical diagnostic techniques and materials
for assessing reading strengths and needs.
EDCI 665 Clinical Instruction in
Reading (3 credits)
Prerequisite: EDCI 664 or permission of
department.
Clinical procedures and materials for reading
instruction.
EDCI 666 Leadership in
Schoolwide Reading Program (3
credits)
Prerequisites: EDCI660 and EDCI661 or
permission of department.
Preparation of reading personnel to function as
resource persons to classroom teachers,
administrators and the school community.
361
EDCI 667 Multicultural Materials
and Instruction for K-12 Readers
(3 credits)
Credit will be granted for only one of the
following: EDCI667 or EDCI688E (as
offered in Spring 2008). Formerly
EDCI688E.
An exploration of the multicultural materials
and instructional strategies that create
responsive K-12 classrooms and curricula for
diverse readers.
EDCI 670 Trends in School
Curriculum: Science (3 credits)
Recent developments in educational thinking
and practice on the curriculum in science
education.
EDCI 671 Teaching Science in
Elementary Schools (3 credits)
Identification of problems in teaching science.
Methods for improving the effectiveness of
science education.
EDCI 673 Assessing,
Diagnosing, and Teaching
Writing (3 credits)
Prerequisite: EDCI 467 or equivalent; or
permission of instructor.
Application of theory and research on
composition instruction to review assessment
and diagnostic procedures useful to writing
teachers. Development of curricular materials
for implementing appropriate individual, small
group, and large-group instruction.
EDCI 674 Increasing Science
Reading Comprehension (3
credits)
Prerequisite: Undergraduate degree in
science or teacher education. Corequisite:
students must be in science teaching
position, grade 6 or higher.
Reading comprehension strategy instruction
embedded in science teaching. Involves field
observations by instructor. Meets certification
gudielines for requirements of Part II of
MSDE's Teaching Reading in the Content
Areas.
EDCI 675 Learning to Teach and
Learn Science (3 credits)
Prerequisite: Admission to Maryland
Master's Certification Program (MMCP),
EDCI680, or permission of instructor.
Credit will be granted for only one of the
following: EDCI675 orEDCI788V.
Formerly EDCI788V.
Developing practices of instruction in science
teaching in the context of understanding
student science learning.
EDCI 676 Reflection and
Practice in Secondary School
Science Teaching (3 credits)
Prerequisite: Admission to Maryland
Master's Certification Program (MMCP),
EDCI675, or permission of instructor.
Use of classroom videotape and student work
as data for teachers to analyze their students'
thinking and discuss instructional
interpretation, strategies, and techniques in the
specific contexts of their classes.
EDCI 677 Computers in Science
Education (3 credits)
Prerequisite: EDCI 487 or equivalent.
Current and projected methods by which
computers can augment classroom and
laboratory-based science instruction in school
and non-school settings.
EDCI 680 Teaching and
Learning in Secondary Schools
(3 credits)
Recent developments in educational thinking
and practice which have effected the
curriculum.
EDCI 681 Trends in Elementary
School Curriculum (3 credits)
Recent developments in educational thinking
and practice which have affected the
curriculum in elementary education.
EDCI 682 Proseminar in
Professional Development (3
credits)
Introduction to professional development for
human service profession. Survey of
professional and research literature; analysis of
allied fields.
EDCI 685 Research Methods (3
credits)
The interpretation and conduct of research in
curriculum and instruction.
EDCI 687 Applications of
Computers in Instructional
Settings (3 credits)
Review and analysis of instructional software
and computer-based learning environments
from the standpoint of teaching, learning, and
design theories. Integration of instructional
and tool software into classroom settings.
EDCI 688 Special Topics in
Curriculum and Instruction (1-3
credits)
Prerequisite: permission of department.
Current topics and issues in teaching. Open
only to students admitted to graduate teacher
education program option.
EDCI 689 Teaching Internship
(1-9 credits)
Prerequisite: Permission of department.
Repeatable to 12 credits if content differs.
Internship experiences in elementary or
secondary teaching with appropriate
supervision. Credit not to be granted for
experience accrued prior to registration. Open
only to students admitted to graduate teacher
education program option.
EDCI 690 Teaching as a
Profession (3 credits)
Prerequisite: permission of department.
The profession of teaching and the knowledge
base that defines teaching. Current and social
issues that affect teaching and learning; role of
research and experience in learning to teach.
EDCI 691 Models of Teaching:
Theories and Applications (3
credits)
Prerequisite: permission of department.
Theory and research on teaching as applied to
models of instruction. Practice in developing
an initial repertoire of teaching models and in
providing thoughtful critique of teaching based
on these models.
EDCI 692 Conducting
Interpretive Inquiry in
Classroom Contexts (3 credits)
Prerequisite: EDCI 684.
An advanced course in qualitative research
methods that requires a fully developed
research project in a classroom context. In
addition to the tools and techniques of data
gathering, the course considers methods of on-
going data analysis, way of knowing and
writing about field research, issues of
reflexivity, and the ethical and political
decisions involved in crafting text.
EDCI 693 Research on Effective
Teaching (3 credits)
Prerequisite: permission of department.
Survey of the research literature on effective
teaching and schools. Observation and
analysis of teaching in a variety of school and
classroom settings.
EDCI 694 Transformative
Pedagogy and School Subjects
(3 credits)
Examines the potential of various pedagogoies
to be transformative in relation to school
subject matters, school identities, and school
contexts.
EDCI 695 Teaching Science and
Social Studies through
Environmental Study (3 credits)
For EDCI majors only.
Curriculum and instruction for science and
social studies within a multicultural and
environmental context; analysis of social
studies and science curriculum materials;
utilization of school and community resources.
EDCI 696 Conducting Research
on Teaching (3 credits)
Prerequisite: permission of department.
Application of the knowledge base on
effective teaching to the analysis and
improvement of educational practice. Research
methods used in the study of classroom
teaching. Design and conduct of an action
research project.
EDCI 697 Embracing Diversity in
Classroom Communities (3
credits)
03 semester hours.
The course aims to help students understand
race, class, gender, and sexuality as systems of
privilege, exclusion, marginalization, and the
centrality of embracing diversity in the
classroom communities to promote the success
of all students.
EDCI 698 Conducting Research
on Teaching (1-3 credits)
Prerequisite: permission of department. 1
semester hours. Repeatable to 3 credits if
content differs.
Application of the knowledge base on
effective teaching to the analysis and
improvement of educational practice. Research
methods used in the study of classroom
teaching. Design and conduct of an action
research project.
EDCI 720 Theory and Research
in Social Studies Education (3
credits)
Prerequisites: {EDCI 620 or EDCI 622};
andEDMS645.
A survey of the research literature; evaluation
of research techniques; consideration of
relevant instructional curriculum theory;
evaluation of modern teaching methods and
techniques.
EDCI 730 Theory and Research
in Second Language Teaching,
Learning and Assessment (3
credits)
Prerequisite: permission of department.
A survey of the research literature; evaluation
362
of research techniques; consideration of
relevant instructional curriculum theory;
evaluation of modern teaching methods and
techniques.
EDCI 732 Second Language
Acquisition (3 credits)
Prerequisites: permission of department.
Major theoretical approaches to second
language acquisition. For teaching English to
speakers of other languages (TESOL).
EDCI 734 Teaching English
Language Learners: Current and
Future Research Directions (3
credits)
Corequisite: EDCI780 or EDCI732; or
permission of department.
Research on the preparation of generalists and
specialists teaching English Language
Learners. Current research and future research
directions.
EDCI 735 Research Foundations
of Second Language Education:
ExaminingLinguistically Diverse
Student Learning (3 credits)
Prerequisite: (EDCI630 or EDCI732) and
permission of department; or permission of
instructor.
Critically examine theories of second language
acquisition and research in applied linguistics
relevant to linguistically diverse students and
learners of English as an additional language.
Analysis of research from linguistic,
psycholinguistic, sociolinguistic and
sociocultural perspectives, with an emphasis
on the social contexts of second language
learning and teaching.
EDCI 740 Theory and Research
in English Education (3 credits)
A survey of the research literature; evaluation
of research techniques; consideration of
relevant instructional curriculum theory;
evaluation of modern teaching methods and
techniques.
EDCI 745 Theory and Research
in Written Communication (3
credits)
Recommended: EDCI 685.
Analysis and synthesis of recent theoretical
trends in writing research; the reading and
critiquing of representative research studies.
The study of research methods for conducting
disciplined inquiry in written communication.
EDCI 751 Foundations of
Mathematics Education I:
Theory and Research on
Mathematical Thinking and
Learning (3 credits)
Prerequisite: Admitted to Doctoral
Program-Math Ed or permission of
department.
Study of mathematical thinking by students at
various levels of schooling considered from
classic and contemporary theories of learning
that are particularly relevant to the study of
mathematics. Exploration of what it means to
understand mathematics.
EDCI 752 Foundations of
Mathematics Education II:
Theory and Research on
Mathematics Teaching (3
credits)
Prerequisite: EDCI 75 1 or permission of
department.
Knowledge of and insights into how
mathematics has been and is being taught;
theories about how it might be taught.
Familiarity with the methods used to do
research on teaching and to improve teaching.
EDCI 753 Foundations of
Mathematics Education III:
Curriculum (3 credits)
Prerequisite: Admitted to Mathematics
Education Doctoral Program or permission
of department.
The study of curriculum in mathematics and
research on curriculum. The relationship of
mathematics and school mathematics; the
forms, purposes,development, and evaluation
of mathematics curricula.
EDCI 754 Foundations of
Mathematics Education IV:
Policy, Professional
Development and Teacher
Preparation (3 credits)
Prerequisite: FME II or FME III or
permission of department.
Preservice teacher education, professional
development, and policy as agents of reform in
mathematics education.
EDCI 758 Research Seminar in
Mathematics Education (1
credits)
Prerequisite: Admission to Doctoral
Program in Mathematics Education;
EDCI75 1 or EDCI753. Repeatable to 03
credits if content differs .
Read, plan, conduct, and report on research
projects. Projects may be faculty/student
projects, or group or individual student
projects (may or may not be related to a
dissertation). Emphasis on framing
researchable questions. Faculty and peer
feedback is crucial.
EDCI 761 Advanced Clinical
Practices in Reading
Assessment (3 credits)
Prerequisite: EDCI 665. Corequisite: EDCI
762.
Clinical practicum in assessment focusing on
strengths and needs in reading. Case report
writing and conferences.
EDCI 762 Advanced Clinical
Practices in Reading Instruction
(3 credits)
Prerequisite: EDCI 665. Corequisite: EDCI
761.
Clinical practicum in instruction focusing on
instructional techniques and diagnostic
teaching.
EDCI 763 Reading, Cognition,
and Instruction: Reading in the
Content Areas I (3 credits)
Provide secondary education students with an
understanding of the interactive nature of the
reading process, the use of search based
instructional strategies, the relationship
between vocabulary development and student
concept development, the design of strategic
reading instruction, the methods for assessing
content area literacy, and the ability to plan
instruction and communicate with students,
parents, and allied professionals.
EDCI 764 Writing Across the
Curriculum (3 credits)
Emphasis on providing secondary education
majors with an interdisciplinary foundation in
current theory, research, and best practice
focused on the teaching of writing across the
curriculum, e.g., art, English, foreign languages
and TESOL, language arts, mathematics,
music, sciences, and social studies.
Exceptional student, inclusion, and diversity
issues w ill be discussed.
EDCI 765 Prcesses and
Acquisition of Reading (3
credits)
For Masters Certification Students only.
Provide elementary education certification
candidates with an understanding of reading
acquisition and its underlying processes.
Topics include language development in
relation to reading development; the biological
basis of this development; concepts in
emergent literacy; models of reading
acquisition and skilled reading; the effects of
phonemic awareness in phonics on developing
readers; factors in early childhood
environments and in beginning literacy
instruction that impact language and literacy
achievement.
EDCI 769 Theory and Research
in Reading (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Survey of the literature in reading and allied
fields, and an examination of current research
trends and methodologies.
EDCI 770 Foundations of
Science Education (3 credits)
Prerequisite: EDCI 670 or EDCI 671; or
permission of department.
Development of science education; pre-
kindergarten through college; the influences
on current and future practices; and the
identification and critical analysis of topics in
science education.
EDCI 771 Theory and Research
in Science Education (3 credits)
Prerequisites: EDCI 770; and EDMS 646;
or permission of department.
A study of various techniques and paradigms
for research in science education, pre-
kindergarten through college. Identification
and critical analysis of a researchable topic in
science education and the development of a
proposal.
EDCI 776 Urban Education (3
credits)
This seminar provides students with a broad
overview of urban education as a field of
inquiry by examining the social context of
urban schools, how transformative pedagogy
is practiced and conceptualized as well as
school reform.
EDCI 780 Theory and Research
on Teaching (3 credits)
Analysis of the interactive process of
instruction; preschool through higher
education in school and non-school settings;
future directions and needed research.
EDCI 781 Analysis of Instruction
(3 credits)
Theory and practice in observation of
instruction and in the related conference with
the teacher. Various classroom observation
systems and models for conferences are
studied and used.
EDCI 782 Power, Privilege,
Diversity and Teaching (3
credits)
This course critically examines the theoretic
foundation of multicultural education with
363
particular emphasis on the relationship
between power, privilege, diversity and
teaching.
EDCI 783 Theory and Research
in Computer Education (3
credits)
Prerequisites: {EDCI 685; and EDCI 687;
and EDMS 645 } or permission of
department.
Examination of the current research and theory
in the instructional uses of computers,
instructional tutoring systems, computer
programing environments, computer-based
laboratories and problem solving
environments in educational settings.
EDCI 784 Teaching,
Professional Development and
School Change (3 credits)
Examines currrent scholarship on professional
development for K-12 teachers, characteristics
of good professional development, and its
relationship to teaching, learning, and school
improvement.
EDCI 785 Teacher Preparation,
Diversity, and Social Change (3
credits)
A critical examination of the theory and
research on Teacher preparation with an
emphasis on issues of race, class, gender, and
sexuality and the pervasive inequalities
manifest in K-12 school contexts.
EDCI 786 Black and Latino
Education: History and Policy (3
credits)
Recommended: EDSP611 and EDCI776.
Examination of the historical legacies and
contemporary policies that have contributed to
the educational status (K-20) of Blacks and
Latinos in the United States from the 19th
century to the present. Issues surrounding
language, immigration, racism, social class,
and state and federal policies will also be
analyzed.
EDCI 787 Disciplinary
Knowledge, School Subjects
and Educational Reform (3
credits)
Examines the interrelationship between ways
of knowing in disciplines and how they are
represented in school subjects. Implications
for the reform of teaching and teacher
education are also considered.
EDCI 788 Selected Topics in
Teacher Education (1-3 credits)
Repeatable to 6 credits if content differs.
Current topics and issues in teacher education.
EDCI 790 Epistemological Bases
of Education Research (3
credits)
Prerequisite: EDC1780.
A course on research theory, method, and
design issues for doctoral students. Focuses on
conceptual and theoretical understanding of
methodology, the broad rand of both
qualitative and quantitative methodologies,
and underlying epistemologies as they apply to
the study of schools, curriculum, teaching, and
teacher education.
EDCI 791 Qualitative Research I:
Design and Fieldwork (3
credits)
Prerequisite: EDCI790. Formerly
EDCI684.
Builds on EDCI790 to examine in more depth
the theoretical and epistemological moorings
of different types of qualitative research.
Students apply selected field research methods
to problems of professional practice in schools
and communities while considering central
issues and dilemmas that arise while engaging
in fieldwork.
EDCI 792 Qualitative Research
II: Analysis and Interpretation of
Data (3 credits)
Prerequisite: EDCI791. Credit will be
granted for only one of the following:
EDCI692 or EDCI792. Formerly
EDCI692.
Uses data collected in EDCI791 to consider
methods oof on-going data analysis, ways of
knowing and writing about field research,
issues of reflexivity, and the ethical and
political decisions involved in crafting text.
Students will read literature on and exemplars
of multiple modes of qualitative data analysis
and interpretation.
EDCI 798 Special Problems in
Teacher Education (1-6 credits)
Prerequisite: permission of department.
Intended for Masters, AGS, or doctoral
students in education who desire to pursue a
research problem.
EDCI 799 Master's Thesis
Research (1-6 credits)
EDCI 820 Seminar in Social
Studies Education (3 credits)
EDCI 822 Seminar in Secondary
Education (3 credits)
EDCI 840 Seminar in English
Education (3 credits)
EDCI 841 Seminar in Speech
Education (3 credits)
EDCI 858 Seminar in
Mathematics Education (1-3
credits)
Repeatable to 6 credits.
Survey and analysis of literature on an
identified research topic in mathematics
education. Design and implementation of a
research study to investigate the identified
topic.
EDCI 860 Seminar in Reading
Education (3 credits)
EDCI 861 Research Methods in
Reading (3 credits)
Prerequisites: EDCI 685, and EDCI 769,
and {EDMS 646 or permission of
instructor}.
Current research questions and methods
culminating in a study suitable for submission
to journals. Emphasis on using and conducting
research.
EDCI 870 Seminar in Science
Education (3 credits)
EDCI 880 Doctoral Proposal
Seminar (3 credits)
Prerequisites: EDCI 685; and EDCI 780;
and permission of department.
Definition of the problem, development of
research design, data collection processes, and
writing and critiquing dissertation proposals.
EDCI 881 Ontology and
Research Among Marginalized
Groups (3 credits)
Recommended: EDCI776.
Students analyze the different ways in which
social inequality has been understood over
time, how those understandings have shaped
research of marginalized communities and
how, in turn, research has shaped
understandings of inequality, particularly in
the area of education. Genetic difference,
cultural deprivation, and social reproduction
are among the theories covered in the course.
Students examine both empirically- and
theoretically-based works and connections
between theoretical frameworks, problem-
posing and methodological approaches.
EDCI 882 The Pedagogy of
Teacher Education (3 credits)
Credit will be granted for only one of the
following: EDCI788M or EDCI882.
Formerly EDCI788M.
Provides an overview of the ways that formal
teacher education programs help prospective
teachers develop knowledge and
understanding of subject matter, learners,
curriculum, and the purposes of schooling.
Focuses specifically on the various pedagogies
used in evaluating their efficacy.
EDCI 888 Apprenticeship in
Education (1-8 credits)
Prerequisite: permission of department.
Apprentice practice under professional
supervision. Credit not to be granted for
experience accrued prior to registration. Open
only to degree- and certificate -seeking
graduate students.
EDCI 889 Internship in
Education (3-8 credits)
Prerequisite: permission of department.
Internship experiences with appropriate
supervision. Credit not to be granted for
experience accrued prior to registration. Open
only to students advanced to candidacy for
doctoral degree.
EDCI 898 Pre-Candidacy
Research (1-8 credits)
EDCI 899 Doctoral Dissertation
Research (1-8 credits)
Education
Counseling and
Personnel Services
(EDCP)
EDCP411 Principles of Mental
Health (3 credits)
Prerequisite: nine semester hours in the
behavioral sciences or permission of
department.
Mechanisms involved with personal
adjustment, coping skills, and the behaviors
that lead to maladjustment.
EDCP 417 Advanced Leadership
Seminar (3 credits)
Prerequisite: EDCP317 or equivalent;
permission of department.
Students will analyze and synthesize the
concept of leadership using cultural, ethical,
sociological, historical perspectives.
Exploration and reflection of personal values,
decision making, in-depth analysis on various
leadership activities. Theories will be
emphasized.
EDCP 418 Special Topics in
Leadership (3 credits)
Prerequisite: EDCP317 or equivalent;
permission of department. Repeatable to 6
364
credits if content differs.
The special topics and leadership course will
address a single topic related to leadership
through the semester. In-depth study and
analysis on the topic will be the basis for the
course. Topics include gender and leadership,
ethics and leadership, and culture and
leadership. Leadership will serve as the
foundation in the course.
EDCP 420 Advanced Topics in
Human Diversity and Advocacy
(3 credits)
Prerequisite: permission of department.
This course will build upon students'
knowledge of diversity in American society
and will examine contemporary topics related
to multiculturalism in educational and
community contexts as well as strategies for
advocacy in such venues. This course fulfills
CORE requirements in diversity.
EDCP 460 Introduction to
Rehabilitation Counseling (3
credits)
Survey of principles and practices involved in
the vocational rehabilitation of persons with
disabilities.
EDCP 462 Disability in American
Society (3 credits)
Prerequisite: undergraduate status. 30
semester hours.
Critical examination of the history of
discrimination and analysis of current policies
toward people with severe physical and mental
disabilities.
EDCP 470 Introduction to
Student Personnel (3 credits)
Prerequisite: permission of department.
A systematic analysis of research and
theoretical literature on a variety of major
problems in the organization and
administration of student personnel services in
higher education. Included will be discussion
of such topics as the student personnel
philosophy in education, counseling services,
discipline, housing, student activities, financial
aid, health, remedial services, etc.
EDCP 489 Field Experiences in
Counseling and Personnel
Services (1-4 credits)
Prerequisite: permission of department.
Planned field experience in education-related
activities. Credit not to be granted for
experiences accrued prior to registration.
EDCP 498 Special Problems in
Counseling and Personnel
Services (1-3 credits)
Prerequisite: permission of department.
Available only to major students who have
formal plans for individual study of approved
problems.
EDCP 499 Workshops, Clinics,
Institutes (1-6 credits)
Repeatable to 6 credits.
The following type of educational enterprise
may be scheduled under this course heading:
workshops conducted by the Department of
Counseling and Personnel Services (or
developed cooperatively with other
departments, colleges and universities) and not
otherwise covered in the present course listing;
clinical experiences in counseling and testing
centers, reading clinics, speech therapy
laboratories, and special education centers;
institutes developed around specific topics or
problems and intended for designated groups.
EDCP 605 Developmental Issues
in Counseling Adults (3 credits)
Theoretical approaches to adult development.
The scope and variety of settings (industry,
education, government) in which programs of
adult counseling and guidance take place, and
the nature of such programs.
EDCP 610 Professional
Orientation (3 credits)
Survey of knowledge base and practices in
counseling and personnel services
specializations, professional ethics,
credentialling relevant legislation, current
issues.
EDCP 611 Career Development
Theory and Programs (3
credits)
Research and theory related to career and
educational decisions; programs of related
information and other activities in career
decision.
EDCP 612 Multicultural Issues in
Counseling and Personnel
Services (3 credits)
Prerequisite: permission of department.
Socio-psychological, philosophical, clinical,
and research topics related to the provision of
counseling and personnel services, academic
support, and career development for minority
students on predominantly white college and
university campuses. Implications of race
and/or national origin on opportunities for
personal, social, academic, and career
development in educational settings.
EDCP 615 Counseling I:
Appraisal (3 credits)
Corequisite: EDCP618. For EDCP majors
only.
Collection and interpretation of appraisal data,
synthesis of data through case study
procedures. Development of interview skills.
EDCP 616 Counseling II: Theory
and Practice (3 credits)
Corequisite: EDCP 618.
Counseling theories and the practices which
stem from such theories.
EDCP 617 Group Counseling (3
credits)
Prerequisite: EDCP 616.
A survey of theory, research and practice of
group counseling and psychotherapy, with an
introduction to growth groups and the
laboratory approach, therapeutic factors in
groups, composition of therapeutic groups,
problem clients, therapeutic techniques,
research methods, theories, ethics and training
of group counselors and therapists.
EDCP 618 Counseling Skills:
Introduction to Practicum (1-6
credits)
Corequisite: EDCP616. Repeatable to 2
credits.
Development and utilization of counseling
skills.
EDCP 619 Practicum in
Counseling (2-6 credits)
Prerequisites: EDCP 616 and permission of
department.
Sequence of supervised counseling
experiences of increasing complexity. Limited
to eight applicants in advance. Two hours
class plus laboratory.
EDCP 625 Counseling the
Chemically Dependent (3
credits)
Chemical dependency and its effects on the
individual's personal, social, and work
functioning. Counseling procedures for
persons with drug and alcohol problems.
EDCP 627 Process Consultation
(3 credits)
Prerequisite: graduate course in group
process.
Study of case consultation, systems
consultation, mental health consultation and
the professional's role in systems intervention
strategies.
EDCP 630 School-Based
Behavioral Interventions (3
credits)
Prerequisite: permission of instructor. For
College of Education majors only.
Behavior assessment and intervention
techniques from behavioral, cognitive-
behavioral, and ecological models. Planning,
implementation, and evaluation of behavior
change techniques.
EDCP 631 Serving Culturally
and Linguistically Diverse
Clients in the Schools (3
credits)
Prerequisite: limited to school psychology
and counselor education students only.
Conceptual and empirical literature about
racially, ethnically, culturally, and
linguistically diverse clients. Examination and
integration of cross-cultural literature.
EDCP 632 Cognitive
Assessment (3 credits)
Prerequisite: Limited to school psychology
students or permission of department.
Assessment of cognitive functioning of
children and adolescents in reference to school
learning and behavior problems.
Administering, scoring and interpreting
cognitive assessment instruments commonly
used in school systems.
EDCP 633 Diagnostic Appraisal
of Children I (3 credits)
Prerequisite: EDCP 632.
Assessment of development, emotional and
learning problems of children.
EDCP 634 Diagnostic Appraisal
of Children II (3 credits)
Prerequisite: EDCP 633. Corequisite:
EDCP 738.
Assessment of development, emotional, and
learning problems of children.
EDCP 635 School Consultation I
(3 credits)
Prerequisite: limited to school psychology
students or permission of instructor.
Theory and practice of consultation services in
the school setting. Understanding of school
culture. Introduction to problem solving model
of case consultation for assessment and
remediation of learning and behavior problems
in the classroom. Practicum experience.
EDCP 636 School Consultation
II (3 credits)
Prerequisites: EDCP 635, limited to school
psychology students or permission of
instructor.
Didactic practicum in consultation services in
the school setting. Case consultation and
organizational consultation in the schools.
Practicum experience.
365
EDCP 640 School Psychology
Seminar: Overview of the
Specialty (1-2 credits)
Limited to school psychology students or
permission of instructor.
Overviews the specialty of school psychology,
including history of the specialty, roles and
functions of school psychologists, and current
professional issues related to the specialty.
EDCP 641 School Psychology
Seminar: Professional Ethics (1-
2 credits)
Limited to school psychology students or
permission of instructor.
Overviews ethical issues in the specialty of
school psychology.
EDCP 651 Group Counseling in
Schools (3 credits)
Prerequisite: EDCP 616. For school
counseling and school psychology students
only or permission of instructor.
Issues and techniques of group counseling in
schools.
EDCP 652 Research in
Counseling (3 credits)
Restricted to School Counseling Students
only. Credit will be granted for only one of
the following: EDCP652 or EDCP789Y.
Formerly EDCP789Y.
An exploration of basic and applied research,
program evaluation, and associated
measurement and evaluation skills crucial for
professional school counselors.
EDCP 655 Organizational
Dimensions of Student Affairs (3
credits)
Prerequisite: EDCP610 or permission of
department.
Exploration of leadership and organizational
change of student affairs programs in post-
secondary education.
EDCP 656 Counseling and
Personnel Services Seminar (2
credits)
Examination of issues that bear on
professional issues such as ethics,
interprofessional relationships and research.
EDCP 662 Psychosocial and
Medical Aspects of Disability (3
credits)
Prerequisite: EDCP 610 or equivalent.
Appraisal and understanding of the
psychosocial and medical aspects of disability
and chronic illness, including their nature,
causes, functional aspects and treatment;
understanding of how psychological and social
factors influence the adjustment processes in
disability.
EDCP 663 Rehabilitation and
Treatment of Mental and
Emotional Disorders (3 credits)
Prerequisite: EDCP 610 or permission of
department.
Purpose and principles of rehabilitation and
treatment methods of adolescents and adults
with significant mental health disorders and
dual diagnoses. Focus is on the individual as
well as the family. The course includes
information regarding etiology, assessment,
treatment interventions, program planning, and
program evaluation.
EDCP 665 Family and Social
Support Systems (3 credits)
Recommended: EDCP 610.
Principles and methods useful for
understanding the role of family support
systems in counseling. Specialized skills for
counseling impaired adults and their families.
EDCP 668 Special Topics in
Rehabilitation (1-6 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
EDCP 669 Professional Issues
in Counseling Psychology (1
credits)
Open only to Counseling Psychology
majors. Also offered as PSYC669.
Formerly EDCP695.
Introduction to counseling psychology,
including history and development of the field,
and current professional and scientific issues.
Exploration of career, research, and
professional development opportunities.
EDCP 680 Basic Didactic
Practicum in Counseling
Psychology (3 credits)
For Counseling Psychology majors only.
Also offered as PSYC 680. Formerly
PSYC776.
In depth examination of counseling theories
and techniques, and supervised experience in
application of a range of counseling and
therapy approaches.
EDCP 682 Counseling
Psychology Didactic Practicum
in Group Interventions (3
credits)
For Counseling Psychology majors only.
Also offered as PSYC 682. Formerly
EDCP718.
In depth examination of theories and
techniques of group interventions; supervised
experience in group counseling.
EDCP 684 Counseling
Psychology Didactic Practicum
in Consultation (3 credits)
For Counseling Psychology majors only.
Also offered as PSYC 684. Formerly
EDCP789K.
In depth examination of theories and
techniques of consultation on and off
university campuses; supervised experience on
conducting consultation.
EDCP 685 Counseling
Psychology Didactic Practicum
in Counseling Supervision (3
credits)
For Counseling Psychology majors only.
Also offered as PSYC 685. Formerly
EDCP745.
In depth examinatin of theories and techniques
of counseling supervision, supervised
experience in the process of supervising
counselors.
EDCP 686 Counseling
Psychology Didactic Practicum
in Career Interventions (3
credits)
For Counseling Psychology majors only.
Also offered as PSYC 681. Formerly
EDCP777.
In depth examination of theorectical
approaches and issues in career interventions;
supervised experience in career counseling and
assessment.
EDCP 689 Seminar in
Counseling Psychology (3
credits)
For Counseling Psychology majors only.
Repeatable to 12 credits if content differs.
Also offered as PSYC 689. Formerly
EDCP789.
Special topics in counseling psychology.
Examples of topics include multicultural
counseling, the counseling relationship,
counseling and victimology, psychology
treatment and health.
EDCP 690 Research in
Counseling Psychology I (3
credits)
For Counseling Psychology majors only.
Also offered as PSYC690. Formerly
EDCP778.
Critical analysis of strategies, methodological,
conceptual, and content trends.
EDCP 691 Research in
Counseling Psychology II (3
credits)
For Counseling Psychology majors only.
Also offered as PSYC 691. Formerly
EDCP717.
Critical analysis of trends and issues in
counseling psychology science.
EDCP 692 Assessment in
Counseling Psychology I (3
credits)
For Counseling Psychology majors only.
Also offered as PSYC 692. Formerly
EDCP789F.
Broad introduction to the construction of
psychological tests and measures, and
experience in test interpretation, with
consideration of historical, legal, ethical, and
cultural issues surrounding the assessment
process.
EDCP 693 Assessment in
Counseling Psychology ii (3
credits)
For Counseling Psychology majors only.
Also offered as PSYC 693. Formerly
EDCP789F.
Supervised experience in administration,
scoring, and interpreting major
psychodiagnostic instruments used by
counseling psychologists, as well as writing
integrative assessment reports. Emphasis on
hypothesis testing approach to assessment and
on the counseling interview as an assessment
tool.
EDCP 694 Student Leadership
Development (3 credits)
Credit will be granted for only one of the
following: EDCP694 or EDCP789D.
Formerly EDCP789D.
Explores the development of leadership among
college students including the study of
leadership theory; a focus on how leadership is
learned and developed; cultural demensions of
leadership; and exposure to the current
national scene in leadership associations,
programs, and resources.
EDCP 695 Ethical and
Professional Issues in
Counseling Psychology (3
credits)
Only open to Counseling Psychology
majors. Formerly: EDCP669 and
EDCP688. Also offered as PSYC695.
366
Credit will be granted for only one of the
following: EDCP669, EDCP688,
EDCP695, PSYC688, or PSYC695.
Exploration of ethical and professional issues
in Counseling Psychology.
EDCP 697 Multicultural Issues in
Counseling Psychology (3
credits)
Only open to Counseling Psychology
majors. Also offered as PSYC697. Credit
will be granted for only one of the
following: EDCP697, EDCP699, or
PSYC697. Formerly EDCP699.
Exploration of knowledge, attitudes and skills
for providing counseling and psychological
services to culturally diverse populations.
EDCP 698 advanced Didactic
Practicum in Counseling
Psychology (3 credits)
For Counseling Psychology majors only.
Repeatable to 12 credits if content differs.
Also offered as PSYC 698. Formerly
EDCP776.
In depth examination of approaches to or
theories abouth intervention, and supervised
experience in the application of those
approaches or theories. Each practicum
focuses on a particular approach, e.g.,
psychodynamic, cognitive-behavioral, cross-
cultural.
EDCP 700 Theories and
Strategies of Counseling
Psychology (3 credits)
For Counseling Psychology majors only.
Also offered as PSYC 700. Formerly
EDCP789J.
Introduction to the professional field,
examination of pertinent scientific and
philosophical backgrounds, and survey of the
major theories, principles, and training models
in counseling. Correlated laboratory analogue
experiences in dydactic and group
interrelationships.
EDCP 715 Appraisal Measures
in Counseling (3 credits)
Prerequisites: EDCP 615 and EDMS 646 or
their equivalents. Interpretation and utilization
in counseling of the career interest and
personality measures.
EDCP 716 Advanced Counseling
Theory Seminar (3 credits)
Prerequisite: Master's degree in counseling
or permission of department.
Systematic investigation of methods of theory
analysis and their application to counseling
theory.
EDCP 717 Evaluation of
Research in Counseling (3
credits)
Prerequisite: permission of department.
Research on process and outcome in
counseling. A review of research and
appropriate research methodologies.
EDCP 718 Advanced Seminar in
Group Processes (2-6 credits)
Prerequisite: EDCP 626. Repeatable to 6
credits.
EDCP 735 Seminar in
Rehabilitation Counseling (3
credits)
Part of the core curriculum for rehabilitation
counselors. Designed to provide the advanced
rehabilitation counseling student with a formal
seminar to discuss, evaluate and attempt to
reach personal resolution regarding pertinent
professional problems and issues in the field.
EDCP 738 Practicum in Child
Assessment (1-6 credits)
Corequisite: EDCP 633 or EDCP 634.
Repeatable to 6 credits.
Administration of complete test batteries to
children; supervision of initial interviews; test
administration and scoring; interpretation and
synthesis of test battery and interview
material; the psychological report; verbal
interpretation of test results; and
recommendations. Taken initially with EDCP
633; repeated with EDCP 634 in the
subsequent semester.
EDCP 740 Issues and Methods
in Counselor Education (3
credits)
Doctoral standing.
Competencies, current issues, and methods in
the pre-service and continuing education of
counselors.
EDCP 741 Multicultural Practice
in Student Affairs: Self,
Education, and Society (2
credits)
Prerequisite: EDCP77 1 . Credit will be
granted for only one of the following:
EDCP741 or EDCP789Z. Formerly
EDCP789Z.
Develop knowledge and skills in the area of
multicultural practice in student affairs
through the examination of oppression, power,
and privilege and how these dynamics impact
individuals, educational institutions, and
societies.
EDCP 742 Examining College
Environments and Outcomes (3
credits)
Prerequisite: EDMS651 or permission of
instructor. Credit will be granted for only
one of the following: EDCP742 or
EDCP789K. Formerly EDCP789K.
Explores theory and research on the impact of
the college environment on undergraduate
student outcomes. Discusses environmental
theory and assessment, college impact theory,
outcomes assessment, and implications of the
above for higher education and student affairs.
EDCP 745 Supervision of
Counseling (3 credits)
Prerequisite: permission of department.
Open to doctoral students only. For EDCP
majors only.
Survey of knowledge base, research
approaches, and applied skills in supervision
of counseling.
EDCP 746 Clinical Supervision
of Pupil Personnel Services (3
credits)
One hour of lecture, one hour of laboratory,
and one hour of discussion/recitation per
week. Prerequisite: permission of instructor
For Advanced Doctoral Students Only.
Supervision of role of psychologists and
counselors in school settings; applied skills in
supervision of services.
EDCP 770 Service-Learning and
College Student Development (3
credits)
Credit will be granted for only one of the
following: EDCP770 or EDCP789Y.
Formerly EDCP789Y.
Historical roots, concepts, and principles of
practice of service -learning in higher
education; includes community service
component.
EDCP 771 The College Student
(3 credits)
A demographic study of the characteristics of
college students as well as a study of their
aspirations, values, and purposes.
EDCP 772 Research in College
Student Personnel (3 credits)
Prerequisite: EDCP 656 or permission of
instructor, Limited to college student
personnel students only.
Research designs and approaches to research
in student development and higher education.
Development of research for research
competency or dissertation proposal.
EDCP 773 Designing Qualitative
Research in Counseling and
Student Affairs Contexts (3
credits)
One hour of lecture and two hours of
discussion/recitation per week.
Introduction to philosophical and
epistemological foundations, methodologies
and methods associated with qualitative
research designs appropriate in student affairs
and counseling contexts.
EDCP 774 Advanced Seminar in
Theories of College Student
Development (3 credits)
Prerequisite: EDCP771 or equivalent.
An opportunity for in-depth study, dialogue,
and reflection about theoretical frameworks
for understanding the development of college
students. Specific attention is given to
enhancing knowledge and understanding of
the development of students when social
identities and their intersections are
considered.
EDCP 775 Facilitating Student
Learning in Higher Education (3
credits)
Prerequisite: EDCP771 or permission of
department. Doctoral standing.
Application of selected models of college
student development, learning styles, and
related models of instruction to the assessment
of characteristics and the design of learning
environments.
EDCP 778 Research Proposal
Seminar (3 credits)
The development of thesis, dissertation or
other research proposals.
EDCP 788 Advanced Practicum
(1-6 credits)
Prerequisites: previous practicum
experience and permission of department.
Individual supervision in one of the following
areas: (a) individual counseling, (b) group
counseling, (c) consultation, or (d)
administration.
EDCP 789 Advanced Topics in
Counseling and Personnel
Services (1-6 credits)
Repeatable to 6 credits.
EDCP 798 Special Problems in
Counseling and Personnel
Services (1-6 credits)
Master's AGS, or doctoral candidates who
desire to pursue special research problems
367
under the direction of their advisers may
register for credit under this number.
EDCP 799 Master's Thesis
Research (1-6 credits)
Registration required to the extent of six hours
for Master's thesis.
EDCP 888 Apprenticeship in
Counseling and Personnel
Services (1-8 credits)
Prerequisite: permission of department.
Apprentice practice under professional
supervision in an area of competence
compatible with the student's professional
goals. Credit not to be granted for experience
accrued prior to registration. Open only to
degree- and certificate -seeking graduate
students.
EDCP 889 Internship in
Counseling and Personnel
Services (1-8 credits)
Prerequisite: permission of department.
Repeatable to 8 credits if content differs.
Internship experiences at a professional level
of competence in a particular role with
appropriate supervision. Credit not to be
granted for experience accrued prior to
registration. Open only to students advanced to
candidacy for doctoral degree.
EDCP 898 Pre-Candidacy
Research (1-8 credits)
EDCP 899 Doctoral Dissertation
Research (1-8 credits)
Registration required to the extent of 12-18
hours for a Ph.D. Dissertation.
Education, Human
Development (EDHD)
EDHD 400 Introduction to
Gerontology (3 credits)
Multidisciplinary survey of the processes of
aging. Physiological changes, cultural forces,
and self- processes that bear on quality of life
in later years. Field study of programs,
institutions for elderly, individual elders, their
families and care providers.
EDHD 401 Promoting Optimal
Aging (3 credits)
Prerequisite: EDHD320, or EDHD400, or
permission of department. Also offered as
EDHD641. Credit will be granted for only
one of the following: EDHD401 or
EDHD641.
Theoretical, research, and applied issues
related to optimal aging from psychological,
biological, and societal perspectives. Group or
individual projects involving direct field
experiences.
EDHD 411 Child Growth and
Development (3 credits)
Theoretical approaches to and empirical
studies of physical, psychological and social
development from conception to puberty.
Implications for home, school and community.
EDHD 412 Infant Development (3
credits)
Infant development across domains, including
perceptual, motor, cognitive, language, social
and emotional functioning from pre-natal
through third year of life.
EDHD 413 Adolescent
Development (3 credits)
Adolescent development, including special
problems encountered in contemporary
culture. Observational component and
individual case study.
EDHD 415 Social Competence in
Young Children (3 credits)
For early childhood majors only. Junior
standing.
Students will discuss issues and topics relevant
to the study of children's social competence,
peer interactions, relationships, and groups.
Includes field experience.
EDHD 419 Human Development
and Learning in School Settings
(3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Advanced study of human development and
learning in different phases of school program
over a period of time.
EDHD 420 Cognitive
Development and Learning (3
credits)
Prerequisite: either EDHD300, EDHD320,
EDHD411,PSYC355,PSYC341 or
permission of department.
Current developmental theories of cognitive
processes such as language, memory, and
intelligence and how differences in cognitive
level (infancy through adolescence) mediate
learning of educational subject matters.
EDHD 424 Culture and
Community Perspectives: The
Diverse World of the Child (3
credits)
Corequisites: EDHD314, EDHD419,
EDHD313. EDSP470. For early childhood
majors only. Junior standing.
Explores the development of the young child
in the context of family and community, with
particular emphasis on the impact of state,
federal and school system policy on the child's
world.
EDHD 425 Language
Development and Reading
Acquisition (3 credits)
Two hours of lecture and one hour of
discussion/recitation per week.
This course focuses on young children's
language development and the relationship
between language and reading acquisition.
Students will learn: concepts central to
language development; language achievements
at different ages; concepts of emergent
literacy; models of reading acquisition and
skilled reading.
EDHD 426 Cognition and
Motivation in Reading: Reading
in Content Areas I (3 credits)
Students preparing for secondary teaching will
learn the cognitive and motivational aspects of
reading and learning from text in subjects of
literature, science, history and mathematics.
Different structured approaches to using text
for content learning are presented. Classroom
contexts that enable students to engage
productively with diverse texts and internet
resources are identified.
EDHD 427 Constructing and
Integrating the Early Childhood
Curriculum (3 credits)
Prerequisites: EDHD424, EDHD313,
EDHD314, EDSP470. Corequisites:
EDHD323, EDHD321, EDHD322,
EDHD315, EDHD435. For early childhood
majors only. Senior standing.
Explores the world from the child's
perspective and constructs curriculum based
on cognition, learning, and children's
experiences. The integrated curriculum is the
overarching framework for this course.
Includes field experience.
EDHD 430 Adolescent Violence
(3 credits)
Prerequisite: PSYC100 or permission of
department.
Examines the roots of violence among
adolescents and the extent to which this
constitutes a problem in various settings.
Research studies on its origins, prevention and
intervention and implications for social policy
are examined.
EDHD 432 Student Teaching
Pre-K-3 (12 credits)
Prerequisites: EDHD427, EDHD321,
EDHD322, EDHD323, EDHD435.
Corequisite: EDCI464. For early childhood
majors only. Senior standing. Not open to
students who have completed EDHD421,
EDHD422 and EDHD423. Credit will be
granted for only one of the following:
EDHD421, EDHD422, and EDHD423; or
EDHD432.
EDHD 435 Effective
Components of the Early
Childhood Classroom (3
credits)
Prerequisites: EDHD314, EDHD424,
EDHD419, EDHD313, EDHD314,
EDSP470. Corequisites: EDHD427,
EDHD321, EDHD322, EDHD323,
EDHD315. For early childhood majors
only. Senior standing.
Explores three topics integral to effective,
child-centered early childhood classrooms:
assessment, classroom management and parent
involvement. Includes field experience.
EDHD 436 Cognition and
Motivation in Reading: Reading
Acquisition for Middle School
Students (3 credits)
Cognitive and motivational processes of
reading and learning from texts across
subjects. Structured approaches to using text
for content learning based on approaches to
knowledge, motivation, and strategies.
Classroom contexts that enable middle school
students to engage with diverse texts and
Internet resources are provided.
EDHD 440 Adult Development (3
credits)
Prerequisite: EDHD320 or permission of
instructor, Recommended: EDHD413.
Major conceptual approaches to the study of
adult development including physical,
cognitive, social, emotional and self processes
that take place within individuals as they
progress from emerging adulthood through
middle age.
EDHD 445 Guidance of Young
Children (3 credits)
Prerequisite: PSYC100 or permission of
department.
Practical aspects for helping and working with
children, drawing on research, clinical studies,
and observation. Implications for day care and
other public issues.
EDHD 460 Educational
Psychology (3 credits)
368
Prerequisite: PSYC100 or permission of
department.
Application of psychology to learning
processes and theories. Individual differences,
measurement, motivation, emotions,
intelligence, attitudes, problem solving,
thinking and communicating in educational
settings. (May not be substituted for
EDHD300 by students in professional teacher
education programs.)
EDHD 489 Field Experiences in
Education (1-4 credits)
Prerequisite: permission of department.
Repeatable to 4 credits.
Planned field experience in education-related
activities. Credit not to be granted for
experiences accrued prior to registration.
EDHD 498 Special Problems in
Education (1-3 credits)
Prerequisite: permission of department.
Available only to students who have definite
plans for individual study of approved
problems.
EDHD 499 Workshops, Clinics,
and Institutes (1-6 credits)
Repeatable to 6 credits.
The following types of educational enterprise
may be scheduled under this course heading:
workshops conducted by the College of
Education (or developed cooperatively with
other colleges and universities) and not
otherwise covered in the present course listing;
clinical experiences in pupil-testing centers,
reading clinics, speech therapy laboratories,
and special education centers; institutes
developed around specific topics or problems
and intended for designated groups such as
school superintendents, principals and
supervisors.
EDHD 600 Introduction to
Human Development and Child
Study (3 credits)
An overview of the multidisciplinary,
scientific principles which describe human
development and behavior and an application
of these principles in an analysis of a
behavioral record. Techniques of observation,
recording, and analysis of human behavior.
Emphasis on critiquing and applying research
findings.
EDHD 601 Biological Bases of
Behavior (3 credits)
Pre- or corequisite: EDHD 600.
Emphasizes that understanding of human life,
growth and behavior depends on
understanding physical processes. Application
throughout is made to brain-behavior
relationships and implications for
understanding and working with people.
EDHD 602 Social Bases of
Behavior (3 credits)
The social forces and expectations that
influence behavior from infancy through old
age and death. The effects of ethnicity, social
learning values, attitudes, historical events and
mass media on perception and behavior in
societal interactions.
EDHD 605 Curriculum in Early
Childhood Education (3 credits)
Credit will be granted for only one of the
following: EDHD 605 or EDC1 610.
Formerly EDCI6 10.
Curriculum theory, research and practice in
educational settings for infants and children to
age eight.
EDHD 611 Cultural and
Contextual Influences on the
Young Child (3 credits)
Theory and research on social and cultural
influences in early child development.
EDHD 612 Teaching Strategies
in Early Childhood (3 credits)
Not open to students who have completed
EDCI 612. Credit will be granted for only
one of the following: EDHD 612 or EDCI
612. Formerly EDCI612.
Theory and research of teacher-student
interaction. Analysis of planning, organization
of learning environments, evaluation of
learning, and interpersonal relationships.
EDHD 616 Teacher-Parent
Relationships (3 credits)
Credit will be granted for only one of the
following: EDHD 616 or EDCI 613.
Formerly EDCI6 13.
Research in parental involvement in school
activities and processes.
EDHD 619 Advanced Scientific
Concepts in Human
Development (3 credits)
Repeatable to 6 credits if content differs.
A critical examination of concepts and issues
in contemporary culture as these relate to the
development and learning of children and
youth.
EDHD 629 Seminar for the
Center for Children,
Relationships, and Culture (1
credits)
One hour of lecture and two hours of
discussion/recitation per week.
Recommended: For graduate students in
Human Development. Repeatable to 8
credits if content differs.
A seminar and colloquia series featuring
speakers from the department, the college, the
university, and other universities and
institutions from across the world that aims to
cover issues pertaining to contemporary theory
and research in human development.
EDHD 630 Cognitive Processes
During Aging (3 credits)
Cognitive functioning of the aged. The roles of
cultural, environmental and affectional
variables as they contribute to the healthy
functioning of cognitive processes. On-site
field trips.
EDHD 659 Direct Study of
Individuals (3 credits)
Observational techniques to record the
behavior of an individual. Procedures to
ensure objectivity in data collection. Methods
used to analyze, categorize, quantify
observational data in research.
EDHD 690 History and Systems
in Human Development (3
credits)
Two hours of lecture and one hour of
discussion/recitation per week.
History of the field of human development;
philosophical basis of major theoretical
paradigms and contemporary research drawn
from foundational traditions.
EDHD 692 Cognitive Basis of
Instruction (3 credits)
Prerequisite: permission of department.
Psychological and educational research
literature on human cognition, especially as
applied to learning and teaching in classroom
settings.
EDHD 700 Infant Development (3
credits)
An examination of recent research findings in
physical, social, emotional and language
development during infancy. A review of
prenatal and perinatal factors in relation to
their influence on later development.
EDHD 711 Peer-Culture and
Group Processes in Human
Development (3 credits)
Pre- or corequisite: EDHD 600 or
equivalent.
The process of group formation, role-taking
and status-winning, and the emergence of the
peer-culture during childhood and the
evolution of the child society at different
maturity levels to adulthood. The
developmental tasks and adjustment problems
associated with winning, belonging, and
playing roles in the peer group.
EDHD 712 Education and Group
Care (3 credits)
Prerequisite: EDMS 645 or permission of
department. Credit will be granted for only
one of the following: EDHD 7 1 1 or EDCI
712. Formerly EDCI711.
The historical, theoretical and empirical basis
for the group care and education of young
children with special emphasis on the child
under the age of three.
EDHD 713 Research in Early
Childhood Education (3 credits)
Prerequisite: EDMS 645 or permission of
department. Credit will be granted for only
one of the following: EDHD 713 or EDCI
713. Formerly EDCI7 13.
The design and conduct of research with
infants and children to age eight; reviews,
evaluations and discussions of significant and
relevant early childhood research literature.
EDHD 718 Apprenticeship in
College Teaching (1 credits)
Prerequisite: permission of department.
Formerly EDHD888.
For graduate students teaching autonomously
for the first, second, or third tiem at the
University of Maryland, College Park; not
intended for teaching assistants. This course
provides graduate student teachers with a set
of structured experiences that foster
professional growth and development in the
role of college instructor. Includes seminars on
the scholarship of college teaching and
principles of optimal college classroom
environments, peer and faculty in-class
observations of teaching, and guided reflective
analysis of experience in the classroom.
EDHD 720 Social Development
and Socialization Processes (3
credits)
Two hours of lecture and one hour of
discussion/recitation per week. Formerly
EDHD820.
This course covers social development and
socialization processes across the life-span.
The course typically covers the following
topics: parent-child relationships, peer
relationships, moral development, social
cognition, social competence, social
motivation, self-regulation, and cultural
influences on development. This is core
doctoral course.
369
EDHD 721 Cognitive
Development and Learning: An
Introduction (3 credits)
3 semester hours.
Introductory survey into contemporary theory
and research in cognitive development;
applications to classroom learning.
EDHD 722 Learning Theory and
the Educative Process II (3
credits)
Prerequisite: EDHD 721 or permission of
department.
Advanced study of theories, issues and
research in several categories of cognition and
learning applied to education and the helping
professions.
EDHD 750 Culture, Context, and
Development (3 credits)
This course will cover theory and research on
cultural and contextual influences on social
development.
EDHD 751 Child Development
and Poverty (3 credits)
Prerequisite: EDHD720 or permission of
department.
This course examines the theoretical,
methodological, and empirical literature on the
effects of poverty on children's development
from a multidisciplinary perspective. It
includes basic concepts in methodology,
measurement design, and issues related to
connecting basic research on poverty and
children to policy and program interventions.
EDHD 760 Advanced
Educational Psychology (3
credits)
Two hours of lecture and one hour of
discussion/recitation per week.
Prerequisite: prior course in educational
psychology, learning, or cognition, or
permission of department.
Appplication of psychology to learning
processes and theories. Individual differences,
measurement, motivation, and intelligence.
EDHD 768 Laboratory Methods
in Developmental
Psychophysioiogy (3 credits)
Prerequisite: permission of instructor.
Recommended: EDHD775. Repeatable to 6
credits if content differs .
Covers basic electrophysiology and human
electrophysiology. Topics include recording,
processing, and analyzing EEG and ERP.
Emphasis will be placed on the testing of
infant and child populations.
EDHD 770 Designing Multimedia
Computer Environments for
Learners (3 credits)
This course focuses on how new computer
technologies for learners can be created and
used in an educational setting. Small group
design projects, discussions, and readings will
be required.
EDHD 775 Human Development
and Neuroscience (3 credits)
Prerequisite: EDHD601 or permission of
department.
Course focuses on the biological bases of
human behavior including physiological
processes which have an impact on human
development.
EDHD 779 Selected Topics in
Human Development (1-6
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
This course focuses on topics of current
significance in human development research.
Topics covered change each semester, and
vary by instructor.
EDHD 780 Research Methods in
Human Development (3 credits)
Prerequisite: EDMS 651 or permission of
department.
Research methodology for studying human
development: research design, hypothesis
formulation, instrument development,
methodological and statistical approaches,
survey of methodologies.
EDHD 789 Internship in Human
Development (3-8 credits)
Prerequisites: nine credits of human
development; and permission of
department. Repeatable to 9 credits.
Internship experience in one or more human
service agencies in the community.
EDHD 798 Laboratory in Human
Development (1-6 credits)
Independent research supervised by an
instructor in a research setting.
EDHD 799 Master's Thesis
Research (1-6 credits)
Registration required to the extent of six hours
for master's thesis.
EDHD 800 Seminar in Early
Childhood Education (3 credits)
This seminar explores relevant current issues
in early childhood development and education.
EDHD 820 Advanced Topics in
Social Development (3 credits)
Prerequisite: EDHD720 or permission of
department. Credit will be granted for only
one of the following: EDHD820 or
EDHD821. Formerly EDHD821.
Advanced doctoral seminar on socialization
and social development with consideration of
selected topics. Identification of research
problems and areas of application.
EDHD 830 Self Processes in
Human Development I (3
credits)
Prerequisite: EDHD 603 or permission of
department.
Doctoral core course focused on personality
theories — their history, constructs, and
methods; examination of the reciprocal
relation between self and the social
environment; consideration of different
conceptualization of self -processes and related
personality research.
EDHD 835 The Development of
Achievement Motivation (3
credits)
Prerequisites: {EDHD 830 or EDHD 721 }
or permission of department.
Development of achievement motivation and
how it relates to academic achievement during
the elementary and secondary school years.
Expectancy-value theory, attribution theory,
self-efficacy theory, socialization of
achievement motivation.
EDHD 840 Language and
Literacy Development (3
credits)
Two hours of lecture and one hour of
discussion/recitation per week.
Content of this course is current theoretical
and empirical research on children's language
developments and on the linguistic basis of
beginning reading.
EDHD 842 Learning in Context
(3 credits)
Prerequisite: EDHD 721.
Educational and home context that influence
development of motivation, cognitive
strategies, and knowledge will be explored.
EDHD 850 Social Cognition and
Moral Reasoning (3 credits)
Theory and research on social-cognition
development and moral development, from
infancy to adolescence will be covered.
EDHD 870 The Role of Research
in Current Federal Initiatives in
Early Childhood Education and
Development (3 credits)
One hour of lecture and two hours of
discussion/recitation per week.
This course focuses on the ways in which
child development and early education
research supports and directs federal initiatives
regarding early childhood.
EDHD 872 Young Children at
Environmental Risk:
Developmental and Intervention
Issues (3 credits)
Two hours of lecture and one hour of
discussion/recitation per week.
Examination of impact of poverty on young
children, their families, and communities.
Epidemiological, physiological, and
sociological studies will be reviewed.
EDHD 875 Advanced Human
Development and Neuroscience
(3 credits)
Prerequisite: EDHD775 or permission of
department. Credit will be granted for only
one of the following: EDHD8 1 1 or
EDHD875. Formerly EDHD811.
Advanced doctoral seminar in the biological
bases of behavior. Identification of research
problems and areas of application.
EDHD 878 Applied Research
and Strategies (3 credits)
Prerequisite: EDMS 651 or permission of
department. Repeatable to 6 credits if
content differs.
Examine current human development research
literature, define a research problem and
design and implement a research study or
review in collaboration with faculty.
EDHD 888 Apprenticeship in
Human Development Research
(1-8 credits)
Prerequisite: permission of department.
Apprenticeship in Human Development
research.
EDHD 889 Practicum in Human
Development (3-9 credits)
Credit not to be granted for experience
accrued prior to registration. Open only to
degree and certificate -seeking graduate
students. Prerequisite: permission of
department. Repeatable to 9 credits if
content differs.
Field experience in applied human
development at a professional level of
competence in a particular role with
appropriate supervision.
370
EDHD 898 Pre-Candidacy
Research (1-8 credits)
EDHD 899 Doctoral Dissertation
Research (1-8 credits)
Registration required to the extent of 6-9 hours
for an Ed.D. project and 12-18 hours for a
Ph.D. dissertation.
Education
Leadership, Higher
Ed and International
Ed (EDHI)
EDHI 488 Special Topics in
Education Policy and
Administration (1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits. Formerly
EDPL488.
Special and intensive treatment of current
topics and issues in education policy and
administration.
EDHI 489 Field Experiences in
Education (1-4 credits)
Prerequisite: permission of department.
Formerly EDPL489.
Planned field experience in education-related
activities. Credit not to be granted for
experiences accrued prior to registration.
EDHI 498 Special Problems in
Education (1-3 credits)
Prerequisite: permission of department.
Formerly EDPL498.
Available only to students who have definite
plans for individual study of approved
problems.
EDHI 499 Workshops, Clinics,
and Institutes (1-6 credits)
Repeatable to 6 credits. Formerly
EDPA499.
The following type of educational enterprise
may be scheduled under this course heading:
Workshops conducted by the College of
Education (or developed cooperatively with
other colleges and universities) and not
otherwise covered in the present course listing;
clinical experiences in pupil-testing centers,
reading clinics, speech therapy laboratories,
and special education centers; institutes
developed around specific topics or problems
and intended for designated groups such as
school superintendents, principals, and
supervisors.
EDHI 600 Education and Society
(3 credits)
3 semester hours. Credit will be granted for
only one of the following: EDHI600,
EDPL600, or EDPS600. Formerly
EDPL600.
Education and Society is an introductory
course to graduate studies in the Department
of Education Policy and Leadership. Students
consider how social institutions influence —
and in turn are influenced by — education
policies and practices.
EDHI 605 Comparative
Education (3 credits)
Credit will be granted for only one of the
following: EDHI605, EDPL605. or
EDPS605. Formerly EDPL605.
Analyzes and compares leading issues in
education in various countries of the world,
particularly as they relate to crucial problems
in American education.
EDHI 606 Political Economy of
Education in a Global Context (3
credits)
Not open to students who have completed
EDPL788Q in 2001 through 2004. Credit
will be granted for only one of the
following: EDHI606, EDPL606, or
EDPS606. Formerly EDPL606.
Examination of alternative economics
perspectives and their implications for
education policy and practice internationally,
from local to global levels. The connection of
education to issues of development, inequality,
poverty, gender, and race will be discussed.
EDHI 607 Culture and Education
in a Global Context (3 credits)
Not open to students who have completed
EDPL788V in Fall 2000, Spring 2002,
Spring 2003, or Spring 2004. Credit will be
granted for only one of the following:
EDHI607, EDPL607, or EDPS607.
Formerly EDPL607.
Examines cultural theories and analyzes
cultural influences in education. Course
materials and discussion critically analyze
cultural transmission and schooling practices.
Also examined are politics of culture,
multicLilturalism, global cultural clashes, and
educators as critical culture workers.
EDHI 608 Gender and Education
(3 credits)
One hour of lecture and two hours of
discussion/recitation per week. Credit will
be granted for only one of the following:
EDPL788B or EDPL608. Formerly
EDPL608.
The course examines feminist theories on
gender inequity, and analyzes social impacts
on girls' and women's education. Also
examined are women's ways of knowing,
issues of race and class, gender and
development, ecofeminism, and technology
for women.
EDHI 627 Education Policy: An
International Perspective (3
credits)
Credit will be granted for only one of the
following: EDHI627, EDPL627, or
EDPS627. Formerly EDPL627.
An analysis of education policy issues in
various parts of the world. Comparisons with
the United States. Teachers' organizations and
citizen participation in policy determination.
Ethnic and racial group pressures and attempts
to control education policy.
EDHI 630 Analyzing Systemwide
Education Policy (3 credits)
Credit will be granted for only one of the
following: EDHI630, EDPL630, or
EDPS630. Formerly EDPL630.
Analysis of how assessments are made of
systemwide education policy based on the
approaches used in studies of national
education policy by international agencies.
EDHI 640 Introduction to
Educational Leadership (3
credits)
Credit will be granted for only one of the
following: EDHI640, EDPL640, or
EDPS640. Formerly EDPL640.
The focus of this course is the analysis of the
role of education administrators/leaders in the
social, political, and legal contexts of schools.
Also examines the role of leadership in school
improvement.
EDHI 641 Planning and Goal
Setting In Educational
Organizations (3 credits)
Credit will be granted for only one of the
following: EDHI641, EDPL641, or
EDPS641. Formerly EDPL641.
Essential aspects of planning for educational
organizations addressed through case studies
in instructional programming, community
involvement, fiscal and physical planning.
EDHI 642 Management of
Change in Educational
Organizations (3 credits)
Credit will be granted for only one of the
following: EDHI642, EDPL642, or
EDPS642. Formerly EDPL642.
Role of individual as a change agent; issues
related to effecting change within
organizational sub-systems and total systems
are considered. Specific strategies for
successful change in schools are addressed.
EDHI 643 Management of
Human Resources In Education
(3 credits)
Credit will be granted for only one of the
following: EDHI643, EDPL643, or
EDPS643. Formerly EDPL643.
Examination of knowledge and development
of awareness and capabilities needed by
educational leaders to promote student success
by managing school system personnel needs.
Emphasis on recruitment, selection and
supervision as well as labor relations and
collective bargaining.
EDHI 645 Leading Instructional
Improvement (3 credits)
Credit will be granted for only one of the
following: EDHI645, EDPL645, or
EDPS645. Formerly EDPL645.
Development of knowledge and skills in the
use of data bases, research findings and
models of supervision, to improve instruction
in schools.
EDHI 646 Leading Instructional
Excellence (3 credits)
Prerequisite: EDHI/EDPL/EDPS645 or
permission of instructor. Credit will be
granted for only one of the following:
EDHI646, EDPL646, or EDPS646.
Formerly EDPL646.
Leader's role in fostering high quality teaching
and learning. Exploration of the relationship
between curriculum instruction assessment
and the organizational structure of K-12 public
schooling. Development and assessment of
frameworks for understanding instructional
quality. Analysis of strategies for supporting
teachers as they engage in curricular and
professional development. Consideration of
factors involved in creating and sustaining
instructionally centered schools.
EDHI 647 Context for Teaching
and Learning (3 credits)
Prerequisite: EDHI/EDPL/EDPS645 and
EDHI/EDPL/EDPS646 or equivalent.
Credit will be granted for only one of the
following: EDHI647, EDPL647, or
EDPS647. Formerly EDPL647.
Links between schooling and its social and
cultural context provide the theoretical
grounding for examination of current theories
371
and practices for teaching and student learning
that promote equity, diversity and school
success.
EDHI 650 Professional Seminar
in Higher and Adult Education (3
credits)
Credit will be granted for only one of the
following: EDHI650, EDPL650, or
EDPS650. Formerly EDPL650.
Introduction to higher and adult education as a
field of study. Origins, current dimensions and
problems, and emerging issues. Field trips to
state and national capitols, and involvement in
professional conferences.
EDHI 651 Higher Education Law
(3 credits)
Credit will be granted for only one of the
following: EDHI651, EDPL651. or
EDPS65 1 . Formerly EDPL65 1 .
Selected court opinions, legislation and
executive guidelines regulating higher
education. First and fourth amendment rights
of students and faculty, procedural due
process, equal educational opportunity, equal
protection in hiring, promotion, non-renewal
and salaries, individual and institutional
liability for civil rights violations and common
law torts. No prior legal training required.
EDHI 652 Higher Education in
American Society (3 credits)
Credit will be granted for only one of the
following: EDHI652, EDPL652. or
EDPS652. Formerly EDPL652.
Examines the concepts of academic freedom,
corporate autonomy and institutional
accountability with emphasis on twentieth
century relationships between higher
education and government in the United
States.
EDHI 653 Organization and
Administration of Higher
Education (3 credits)
Credit will be granted for only one of the
following: EDHI653, EDPL653, or
EDPS653. Formerly EDPL653.
Basic concepts and terminology related to
organizational behavior and institutional
governance structures. The governance and
organization of higher education in the United
States.
EDHI 654 The Community and
Junior College (3 credits)
Credit will be granted for only one of the
following: EDHI654, EDPL654. or
EDPS654. Formerly EDPL654.
Historical development and philosophical
foundations of community and junior colleges
in America with emphasis on organizational
and administrative structures in two year
institutions and the clientele they serve.
EDHI 655 Administration of
Adult and Continuing Education
(3 credits)
Credit will be granted for only one of the
following: EDHI655, EDPL655. or
EDPS655. Formerly EDPL655.
An overview of the field of Adult/Continuing
Education focusing on the administration of
institutions and organizations that provide
both credit and non-credit educational
experiences for adult learners.
EDHI 656 Academic
Administration (3 credits)
Recommended: EDHI/EDPL/EDPS650.
Credit will be granted for only one of the
following: EDHI656, EDPL656, or
EDPS656. Formerly EDPL656.
Management of human resources in higher
education. Emphasis on faculty personnel
policies: tenure, affirmative action,
compensation, evaluation, development,
motivation. Course based on case study
method.
EDHI 657 History of Higher
Education in the United States
(3 credits)
Credit will be granted for only one of the
following: EDHI657, EDPL657, or
EDPS657. Formerly EDPL657.
History of higher education in America from
colonial times to the present with emphasis on
expansion of higher education and the growing
complexity of its structures, organization, and
purposes.
EDHI 660 Retention Theories
and the Impact of College (3
credits)
Credit will be granted for only one of the
following: EDHI660, EDPL660, or
EDPS660. Formerly EDPL660.
An introduction to retention theories an the
college impact literature. The primary focus
will be to examine the disciplinary and
philosophical frameworks that have informed
the development of leading theories and
policies.
EDHI 661 The Retention of
College Students: Academic,
Social and Cultural Implications
(3 credits)
Credit will be granted for only one of the
following: EDHI661, EDPL661, or
EDPS661. Formerly EDPL661.
This course will focus on experience in the
academy and will provide an opportunity to
gather interview data from students, faculty,
and staff to contrast and analyze institutional
retention efforts.
EDHI 662 Research on Ethnic
Minorities and Demographic
Trends in Higher Education (3
credits)
Credit will be granted for only one of the
following: EDHI662, EDPL662, or
EDPS662. Formerly EDPL662.
Current research on ethnic minority student
populations including issues of access, campus
climate, racial identity, achievement and
motivation. In addition to student issues,
course examines issues for faculty of color in
higher education, curriculum and teaching, and
leadership and governance. The application of
broad demographic changes in the nation and
their impact on higher education over time.
EDHI 663 Philanthropy and
Fundraising in Higher Education
(3 credits)
Credit will be granted for only one of the
following: EDHI663 orEDHI788D.
Formerly EDHI788D.
A critical look at how philanthropy and
fundraising has affected American Higher
Education. Topics will include: a history and
overview of philanthropy, motivations of and
traditions of giving in different populations,
ethics, and critical issues within educational
and advancement.
EDHI 664 The College
Experience (3 credits)
One hour of lecture and one hour of
discussion/recitation per week.
Prerequisite: permission of instructor. For
EDHI, EDPL, and EDPS majors only.
Credit will be granted for only one of the
following: EDHI664, EDPL664, or
EDPS664. Formerly EDPL664.
Designed to provide students with an overview
of the research and scholarship on two of the
major stakeholders in higher education —
students and faculty. Examines the educational
experiences of college students in and out of
the classroom in higher education in the
United States by focusing on what students
learn and the different collegiate experiences
that influence their learning.
EDHI 665 College Access and
Choice (3 credits)
Credit will be granted for only one of the
following: EDHI665 orEDHI788L.
Formerly EDHI788L.
Examines the college-going process, how and
why some students successfully navigate it,
and what policies and programs can help
students from disadvantaged or
underrepresented backgrounds overcome
barriers to college access and entry.
EDHI 666 The Academic
Profession (3 credits)
Credit will be granted for only one of the
following: EDHI666 orEDHI788P.
Formerly EDHI788P.
Explores how faculty demographics,
discipline, graduate socialization, and
organizational context (institutional type,
mission, and culture) matter to critical higher
edcuation outcomes, such as student learning,
community engagement, research, shared
governance and access and equity.
EDHI 667 Women in Higher
Education (3 credits)
Credit will be granted for only one of the
following: EDHI667 orEDHI788A.
Formerly EDHI788A.
A comprehensive overview of the history,
present condition, and current research on
women students, faculty, adminstrators, and
staff in higher education. Explores why and
how women and men experience higher
educaton differently, by drawing on historical,
cultural, and psychological contexts, feminist
theoretical and research perspectrives and
recent research in various disciplines.
EDHI 670 Learning Communities
(3 credits)
Credit will be granted for only one of the
following: EDHI670, EDPL670, or
EDPS670. Formerly EDPL670.
Reviews contemporary research on student
and teacher learning and schools as learning
organizations. It aims to build students
understanding of opportunities and challanges
to implementing learning environments in
various educational organizations. Readings,
cases and assignments emphasize students'
understanding of learning theories and their
application to various organizational settings.
EDHI 671 Education Law and
Policy (3 credits)
Credit will be granted for only one of the
following: EDHI671, EDPL671, or
EDPS67 1 . Formerly EDPL67 1 .
An examination of the way judicial
372
interpretation of common, statutory, and
constitutional law shapes and constrains
educational policy making. Special emphasis
on topics framed under the headings of
liberalism and legalism.
EDHI 672 Modes of Inquiry in
Education Research (3 credits)
Credit will be granted for only one of the
following: EDHI672, EDPL672, or
EDPS672. Formerly EDPL672.
Introduction to modes of inquiry appropriate
to research on issues and problems in
education. Examination of qualitative,
quantitative and mixed research methods and
designs with a focus on related standards of
quality.
EDHI 673 Economic Evaluation
of Education (3 credits)
Credit will be granted for only one of the
following: EDHI673, EDPL673. or
EDPS673. Formerly EDPL673.
Examination and application of economic
approaches - cost, cost-effectiveness, and cost-
benefit analysis - to the evaluation of
education programs and policies.
EDHI 674 Minority Serving
Institutions (3 credits)
Credit will be granted for only one of the
following: EDHI674 orEDH1788Q.
Formerly EDHI788Q.
Definitions and designations of Minority
Serving Institutions vary; however they
traditionally include Historically Black
Colleges and Universities, Hispanic-Serving
Institutions, and Tribal Colleges and
Universities. Exploring these institutions as
well as some non-traditional MSIs including,
Deaf Serving Institutions and Asian American
and Pacific Islander Serving Institutions.
EDHI 675 Public School
Personnel Administration (3
credits)
Credit will be granted for only one of the
following: EDHI675, EDPL675, or
EDPS675. Formerly EDPL675.
A comparison of practices with principles
governing the satisfaction of school personnel
needs, including a study of tenure, salary
schedules, supervision, rewards, and other
benefits.
EDHI 677 Ranking System in
Higher Education: How They
Work and Why They Matter (3
credits)
Credit will be granted for only one of the
following: EDHI677 orEDHI788W.
Formerly EDHI788W.
A critical examination of domestic and
international university rankings systems from
their historic origins to current day. Students
explore the criteria used to identify quality, the
methods used to collect data, and the impact of
ranking systems on institutional decision-
making.
EDHI 679 Master's Seminar (3
credits)
Formerly EDPL679.
Directed study for master's degree students
writing seminar papers.
EDHI 681 Education for Global
Peace (3 credits)
Credit will be granted for only one of the
following: EDHI681, EDPL681. or
EDPS68 1 . Formerly EDPL68 1 .
This course will examine how education can
address the threats of violence and wars. It
prepares students to teach about peace,
nonviolence, and conflict resolution, and also
to analyze and implement changes in school
and society to contribute to peace and non-
violence.
EDHI 682 Ecological Ethics and
Education (3 credits)
Credit will be granted for only one of the
following: EDHI682 orEDHI788E.
Formerly EDHI788E.
An exploration of the paradigms, approaches,
and ways of knowing offered through
ecological ethics and environmental
sustainability education.
EDHI 683 World Religions and
Their Implications for Education
(3 credits)
Credit will be granted for only one of the
following: EDHI683 orEDHI788C.
Formerly EDHI788C.
Students develop a basic understanding of
world religions, looking at their orgins,
development, teachings, and primary figures.
Students explore the roles, meaning, purpose
that education plays in the various religions
and examine their implications for education
in the 21st century.
EDHI 684 Alternative Education,
Alternative Development (3
credits)
Recommended: EDHI606. Credit will be
granted for only one of the following:
EDHI684 or EDHI788D. Formerly
EDHI788D.
A critical examination of the political
economy of education and international
development policies and practices. Focus is
on the implications of these critiques for
alternative education and development policies
and practices.
EDHI 689 Practicum In
Educational Administration and
Supervision (1-3 credits)
Repeatable to 3 credits if content differs.
Formerly EDPL689.
Promotes skill development in managerial,
leadership and supervisory areas. Practicum is
based on results of diagnostic instruments and
an individual professional development plan.
EDHI 700 Qualitative Research
Methods in Education (3
credits)
Credit will be granted for only one of the
following: EDHI700, EDPL700, or
EDPS700. Formerly EDPL700.
Qualitative methods in education research,
emphasizing the paradigms of philosophy,
history, sociology, anthropology, and
comparative studies as they rely on narrative
rather than quantitative ordering of data.
EDHI 701 Applied Research and
Data Based Decision Making (3
credits)
Prerequisite: Introductory knowledge of
statistics. Credit will be granted for only
one of the following: EDHI701, EDPL701,
or EDPS701. Formerly EDPL701.
Examines quantitative research methodologies
and data systems used by ed ucation
researchers and leaders to investigate, develop
and improve scho ol policies and practices.
EDHI 702 Advanced Seminar in
Research Methods for
Education Leaders (3 credits)
Prerequisite: EDHI/EDPL/EDPS672 and
EDHI/EDPL/EDPS701. Credit will be
granted for only one of the following:
EDHI702, EDPL702, or EDPS702.
Formerly EDPL702.
Emphasizes the application of quantitative and
qualitative research methodologies to
investigate a range of issues relevant to
education leaders, including educational
equity, efficiency, and effectiveness. Students
enroll in either the qualitative or quantitative
section of the course, depending on their
methodological preference.
EDHI 704 Issues and Institutions
in International Educational
Development (3 credits)
Two hours of lecture and one hour of
discussion/recitation per week. Credit will
be granted for only one of the following:
EDHI704, EDPL704, or EDPS704.
Formerly EDPL704.
Examines the role of educational institutions
in international development and the issues
involved in educational planning and
policymaking at the local, national and
international levels.
EDHI 705 International
Educational Change (3 credits)
Credit will be granted for only one of the
following: EDHI705, EDPL705, or
EDPS705. Formerly EDPL705.
Exploration and analysis of major trends in
education in several parts of the world, with
attention directed to educational change as the
outcome of deliberate efforts by nations and
international organizations as well as those
which occur without central planning or
direction.
EDHI 706 Education in
Developing Countries (3
credits)
Credit will be granted for only one of the
following: EDHI706, EDPL706, or
EDPS706. Formerly EDPL706.
Examination of the development of modern
educational systems in Africa, Asia and Latin
America out of the colonial and traditional
past into the independent present and future.
Focus is on changing philosophies and
persistent educational problems in these
societies.
EDHI 707 Education Planning in
Developing Countries (3
credits)
Recommended: EDHI/EDPL/EDPS706.
Credit will be granted for only one of the
following: EDHI707, EDPL707, or
EDPS707. Formerly EDPL707.
An examination of the international and social
context as well as methods and problems of
education development planning in developing
countries, focusing on the multiple roles of the
development consultant and case studies.
EDHI 725 Education in East Asia
(3 credits)
Credit will be granted for only one of the
following: EDHI725, EDPL725, or
EDPS725. Formerly EDPL725.
The course traces the cultural and intellectual
history of education in East Asia, and examine
features and important issues in East Asian
373
education systems. School reform and social
changes are studied.
EDHI 740 Managing Educational
Organizations in a Diverse
Society (3 credits)
Credit will be granted for only one of the
following: EDHI740, EDPL740, or
EDPS740. Formerly EDPL740.
Contemporary social and cultural influences
that impact on the management of educational
organizations in a diverse society. The effects
on schools of changes in the economy, family
structure, demographics and technology.
EDHI 741 Policy Studies in
Educational Administration (3
credits)
Credit will be granted for only one of the
following: EDHI741, EDPL741, or
EDPS741. Formerly EDPL741.
Empahsis on understanding the role of
participants/procedures used in the
development of public policies that affect
educational organizations; development of
technical skills related to the policy process.
EDHI 742 Leadership Law and
Ethics (3 credits)
Credit will be granted for only one of the
following: EDHI742, EDPL742, or
EDPS742. Formerly EDPL742.
Constitutional principals of American society
as they effect the work and shape the social
context of educational leadership. Issues
considered include religious liberty, freedom
of expression and association, equality and due
process, and the rights of special needs
populations. Consideration of these topics both
as matters of law through the analysis of
relevant court cases and statutes and as ethical
issues through the discussion of vignettes and
cases.
EDHI 743 Leadership Theory (3
credits)
Prerequisite: EDHI/EDPL/EDPS642.
Credit will be granted for only one of the
following: EDHI743, EDPL743. or
EDPS743. Formerly EDPL743.
Critical analysis of contemporary leadership
theoretical constructs. Consideration of
implications for organizational improvement.
EDHI 744 Organizational Theory
in Research and Practice (3
credits)
Credit will be granted for only one of the
following: EDHI744, EDPL744, or
EDPS744. Formerly EDPL744.
This course provides an overview of the study
of organizations for graduate students
interested in education and social policy. Class
lectures focus on contrasting theories and
critiquing application of theories to social
policy problems with an emphasis on
education. Case materials focus on a variety of
organizational areas including: schools,
hospitals, non-profit community based
organizations, the aits, elected bodies, higher
education and private business.
EDHI 746 Restructuring Schools
(3 credits)
Prerequisite: EDHI/EDPL/EDPS642; or
permission of department. Credit will be
granted for only one of the following:
EDHI746, EDPL746, or EDPS746.
Formerly EDPL746.
Issues related to restructuring. Roles of faculty
and administrators are emphasized.
EDHI 747 Advanced Seminar on
Instructional Improvement (3
credits)
Prerequisite: EDHI/EDPL/EDPS647; or
permission of department. Credit will be
granted for only one of the following:
EDHI747, EDPL747, or EDPS747.
Formerly EDPL747.
Current issues, trends, and problems in the
areas of instructional improvement and the
supervisory responsibilities of school-based
administrators.
EDHI 750 International Higher
Education (3 credits)
Credit will be granted for only one of the
following: EDHI750, EDPL750, or
EDPS750. Formerly EDPL750.
Comparison of higher education systems in
several countries, and of the problems and
issues in higher education faced by these
countries.
EDHI 752 State Systems of
Higher Education (3 credits)
Credit will be granted for only one of the
following: EDHI752, EDPL752, or
EDPS752. Formerly EDPL752.
Creation, operation, alteration and evaluation
of state systems of higher education. Campus
autonomy versus public accountability.
Analysis of topics such as state planning,
budget and program review, and
administration of student aid and federal
programs.
EDHI 753 Higher Education
Planning (3 credits)
Prerequisite: EDHI/EDPL/EDPS653 or
permission of department. Credit will be
granted for only one of the following:
EDHI753, EDPL753, orEDPS753.
Formerly EDPL753.
Social science concepts underlying planning.
Applications of planning concepts and
techniques to higher education at institutional,
state and national levels.
EDHI 754 Higher Education
Finance (3 credits)
Credit will be granted for only one of the
following: EDHI754, EDPL754, or
EDPS754. Formerly EDPL754.
Economic perspectives on higher education.
Ways of financing higher education and
current finance issues. Higher education
budget concepts and processes.
EDHI 755 Federal Policies in
Post-Secondary Education (3
credits)
Credit will be granted for only one of the
following: EDHI755, EDPL755, or
EDPS755. Formerly EDPL755.
Evolution of the federal role, its current scope
and funding. Policy issues associated with
federal student aid programs, research grants
and social equity regulations.
EDHI 759 Seminar in Adult and
Continuing Education (3
credits)
Formerly EDPL759.
Current issues and problems in adult and
continuing education and lifelong learning in
America.
EDHI 760 The Human Dimension
in Administration (3 credits)
Credit will be granted for only one of the
following: EDHI760, EDPL760, or
EDPS760. Formerly EDPL760.
Theory, research findings, and laboratory
experiences in human skills in organizations.
EDHI 761 Group Relationships
in Administration (3 credits)
Credit will be granted for only one of the
following: EDHI761, EDPL761, or
EDPS761. Formerly EDPL761.
Group relationships and relevant
administrative skills in educational settings.
The role of authority, group maturation, group
member roles, group decision-making, and
intra-group and inter-group conflict.
EDHI 767 Seminar on School
District Leadership (3 credits)
Credit will be granted for only one of the
following: EDHI767, EDPL767, or
EDPS767. Formerly EDPL767.
Examination of theory and research related to
school district leadership. Focus on integration
of organizational, political, community,
instructional and ethical aspects of educational
leadership.
EDHI 772 Practicum in School
District Leadership (3 credits)
Credit will be granted for only one of the
following: EDHI772, EDPL772, or
EDPS772. Formerly EDPL772.
Promotes awareness of standards for school
district leadership, and understanding of
associated performance assessments. Special
focus on district leadership to create
appropriate supports for special needs
students.
EDHI 788 Special Topics in
Education Policy and
Administration (1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits. Formerly
EDPL788.
Special and intensive treatment of current
topics and issues in education policy and
administration.
EDHI 789 Doctoral Practicum in
Administration and Supervision
(1-3 credits)
Repeatable to 3 credits if content differs.
Formerly EDPL789.
Experiential activities designed to enhance
student skills. Based on Individual
Professional Development Plan for each
student.
EDHI 798 Special Problems in
Education (1-6 credits)
Formerly EDPL798.
Master's, AGS, or doctoral candidates who
desire to pursue special research problems
under the direction of their advisors may
register for credit under this number.
EDHI 799 Master's Thesis
Research (1-6 credits)
Registration required to the extent of six
hours for master's thesis. Formerly
EDPL799.
EDHI 805 Seminar in
Comparative Education (3
credits)
Credit will be granted for only one of the
following: EDHI805, EDPL805, or
374
EDPS805. Formerly EDPL805.
Analysis of educational issues on a worldwide
basis with opportunities to focus on a
particular country on an individual basis.
Analysis of qualitative research methods as
used in cross-cultural and comparative
education studies.
EDHI 839 Seminar in Teacher
Education (3-6 credits)
Repeatable to 6 credits. Formerly
EDPL839.
A problem seminar in teacher education.
EDHI 845 Advanced Planning in
Education (3 credits)
Prerequisite: EDHI/EDPL/EDPS641; or
permission of department. Credit will be
granted for only one of the following:
EDHI845, EDPL845, or EDPS845.
Formerly EDPL845.
Development of conceptual skills and
understanding of approaches to planning in
educational organizations. Completion of a
strategic comprehensive planning exercise is
required.
EDHI 850 Seminar in Problems
of Higher Education (3 credits)
Credit will be granted for only one of the
following: EDHI850, EDPL850. or
EDPS850. Formerly EDPL850.
Contemporary issues and problems in post-
secondary education relevant to the interests of
both administrators and college/university
faculty members.
EDHI 851 College and University
Development (3 credits)
Credit will be granted for only one of the
following: EDHI851, EDPL851, or
EDPS85 1 . Formerly EDPL85 1 .
Identification and acquisition of extramural
fiscal resources for institutions of higher
education. The nature of philanthropy,
foundation solicitation, alumni administration,
publications and public relations, and funding
agency relationships.
EDHI 852 History of Ideas in
Higher Education (3 credits)
Credit will be granted for only one of the
following: EDHI852, EDPL852, or
EDPS852. Formerly EDPL852.
Contemporary implications of classic or
important original analyses of higher
education over the past 150 years.
EDHI 853 Leadership in Higher
Education (3 credits)
Prerequisite: EDHI/EDPL/EDPS653. Also
offered as EDPS853. Credit will be granted
for only one of the following: EDHI853,
EDPL853, orEDPS853. Formerly
EDPL853.
Theories of organizational leadership applied
to institutions of higher education.
EDHI 855 Lifelong Learning
Policy (3 credits)
Credit will be granted for only one of the
following: EDHI855, EDPL855, or
EDPS855. Formerly EDPL855.
Policies and programs for training and
continued learning in business and industry,
government agencies, unions, professional
societies, and nonprofit organizations.
EDHI 861 Seminar: Research in
School Effectiveness (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: EDHI861, EDPL861, or
EDPS861. Formerly EDPL861.
Examination of organizational effectiveness
and the methodologies for assessing
organizational effectiveness. An individual
research project is required.
EDHI 862 Seminar: Theoretical
Basis of Administrative
Behavior (3 credits)
Prerequisite: permission of department.
Also offered as EDPS862. Credit will be
granted for only one of the following:
EDHI862, EDPL862, or EDPS862.
Formerly EDPL862.
Study of administrative behavior in
educational institutions. Development of a
research design for the study of administrative
behavior in one educational institution.
EDHI 888 Apprenticeship in
Education (1-8 credits)
Prerequisite: permission of department.
Formerly EDPL888.
Apprentice practice under professional
supervision in an area of competence
compatible with the student's professional
goals. Credit not to be granted for experience
accrued prior to registration. Open only to
degree- and certificate -seeking graduate
students.
EDHI 889 Internship in
Education (3-8 credits)
Prerequisite: permission of department.
Formerly EDPL889.
Internship experiences at a professional level
of competence in a particular role with
appropriate supervision. Credit not to be
granted for experience accrued prior to
registration. Open only to students advanced to
candidacy for doctoral degree.
EDHI 895 Research Critique
Seminar (3 credits)
Credit will be granted for only one of the
following: EDHI895, EDPL895, or
EDPS895. Formerly EDPL895.
Critiques of research designs in preparation for
the doctoral dissertation.
EDHI 898 Pre-Candidacy
Research (1-8 credits)
Formerly EDPL898.
EDHI 899 Doctoral Dissertation
Research (1-8 credits)
Formerly EDPL899.
Registration required to the extent of 6-9 hours
for an Ed.D. Project and 12-18 hours for a
Ph.D. Dissertation.
Measurement,
Statistics, and
Evaluation (EDMS)
EDMS 410 Classroom
Assessment (3 credits)
Junior standing.
Developing and using classroom assessments,
including tests, performance assessments,
rating scales, portfolios, observations and oral
interactions; basic psychometric statistics;
standard setting; grading; communicating
assessment information; testing ethics;
locating and evaluating measures; program
evaluation and classroom research;
assessments used for educational policy
decisions.
EDMS 451 Introduction to
Educational Statistics (3
credits)
Sophomore standing. Credit will be granted
for only one of the following: B1OM301,
BMGT230, ECON321, EDMS451,
GEOG305, GVPT422, PSYC200, or
SOCY201.
Introduction to statistical reasoning; location
and dispersion measures; computer
applications; regression and correlation;
formation of hypotheses tests; t-test; one-way
analysis of variance; analysis of contingency
tables.
EDMS 489 Field Experiences in
Measurement and Statistics (1-4
credits)
Prerequisite: permission of department.
Repeatable to 4 credits.
Planned field experience in education-related
activities. Credit not to be granted for
experiences accrued prior to registration.
EDMS 498 Special Problems in
Measurement and Statistics (1-3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits.
Available only to education majors who have
formal plans for individual study of approved
problems.
EDMS 610 Classroom
Assessment and Evaluation (3
credits)
Develop the understandings and skills needed
to validly, reliably, and accurately assess
student learning and to provide focused
leadership in the area of classroom assessment.
EDMS 622 Theory and Practice
of Standardized Testing (3
credits)
Prerequisite: EDMS 451; or EDMS 645.
Principles of interpretation and evaluation of
aptitude, achievement, and personal-social
instruments; theory of reliability and validity;
prediction and classification; norm- and
criterion-referenced testing concepts.
EDMS 623 Applied
Measurement: Issues and
Practices (3 credits)
Prerequisite: EDMS410 and EDMS645 or
equivalent.
Measurement theory and its application at an
intermediate level; test development,
validation and interpretation; issues and recent
developments in measurement.
EDMS 626 Measurement
Techniques For Research (3
credits)
Prerequisite: EDMS 646.
Theory, development and applications of
various measurement instruments and
procedures. Questionnaires, interviews, rating
scales, attitude scales, observational
procedures, ecological approaches, Q-sort,
semantic -differential, sociometry and other
techniques.
EDMS 635 Computer-Based
Measurement (3 credits)
Prerequisite: EDMS 651; and EDMS 623.
Theory and technological developments in
computer-based measurement, including
computer adaptive testing, instructional
testing, item banking, applications to non-
375
cognitive measures, as well as comparisons to
traditional methods.
EDMS 645 Quantitative
Research Methods I (3 credits)
Research design and statistical applications in
educational research: data representation;
descriptive statistics; estimation and
hypothesis testing. Application of statistical
computer packages is emphasized.
EDMS 646 Quantitative
Research Methods II (3 credits)
Prerequisite: EDMS645.
A second-level inferential statistics course
with emphasis on analysis of variance
procedures and designs. Assignments include
student analysis of survey data. Application of
statistical computer packages is emphasized.
EDMS 647 Introduction to
Program Evaluation (3 credits)
Prerequisite: EDMS 645.
Overview of the program evaluation process;
problems encountered in the practice of
program evaluation.
EDMS 651 Applied Multiple
Regression Analysis (3 credits)
Prerequisite: EDMS 646 or equivalent.
Multiple regression and correlation analysis;
trend analysis; hierarchical and stepwise
procedures; logistic regression; computer
programs for regression analysis.
EDMS 655 Introduction to
Multilevel Modeling (3 credits)
Prerequisite: EDMS 651 or equivalent.
Introduction to multilevel models and
methodology as strategies for modeling
change and organizational effects.
EDMS 657 Factor Analysis (3
credits)
Prerequisite: EDMS 65 1 .
Development of models for factor analysis and
their practical applications. Treatment of
factor extraction, rotation, second-order factor
analysis, and factor scores. Introduction to
linear structural relations models.
EDMS 665 Survey of Advanced
Data Analysis for School
Systems (3 credits)
Prerequisite: EDMS 651 or equivalent.
Survey of advanced data analysis procedures
applied to schools systems. Students will learn
about Hierarchical Linear Modeling (HLM),
factor analysis for purposes of test
construction and test validations, and special
topics that utilize these.
EDMS 722 Structural Modeling
(3 credits)
Prerequisite: EDMS 657.
Statistical theory and methods of estimation
used in structural modeling; computer program
applications; multisample models; mean
structture models; structural models with
multilevel data (e.g., sampling weights,
growth models, multilevel latent variable
models).
EDMS 723 Latent Structure
Models (3 credits)
Prerequisites: EDMS 623; and EDMS 651.
Theoretical development and application of
latent class models.
EDMS 724 Modern Measurement
Theory (3 credits)
Prerequisites: EDMS 623; and EDMS 651.
Theoretical formulations of measurement from
a latent trait theory perspective.
EDMS 738 Seminar in Special
Problems in Measurement (1-3
credits)
Prerequisite: permission of department.
Repeatable to 3 credits.
An opportunity for students with special
interests to focus in depth on contemporary
topics in measurement. Topics to be
announced, but will typically be related to
applied and theoretical measurement.
EDMS 747 Design of Program
Evaluations (3 credits)
Prerequisites: EDMS 626; and EDMS 647;
and EDMS 651 or permission of both
department and instructor.
Analysis of measurement and design problems
in program evaluations.
EDMS 769 Special Topics in
Applied Statistics in Education
(1-4 credits)
Prerequisite: permission of department.
Designed primarily for students majoring or
minoring in measurement, statistics or
evaluation.
EDMS 771 Multivariate Data
Analysis (3 credits)
Prerequisite: EDMS 651.
Principal components, canonical correlation,
discriminant functions, multivariate analysis of
variance/covariance and other multivariate
techniques.
EDMS 779 Seminar in Applied
Statistics (1-3 credits)
Prerequisite: permission of department. For
EDMS majors only. Repeatable to 3 credits
if content differs.
Enrollment restricted to students with a major
or minor in measurement, statistics or
evaluation. Seminar topics will be chosen by
individual student interest.
EDMS 798 Special Problems in
Education (1-6 credits)
Master's, EDMS majors, or doctoral
candidates who desire to pursue special
research problems under the direction of their
advisors may register for credit under this
number.
EDMS 799 Master's Thesis
Research (1-6 credits)
For EDMS majors only.
Registration required to the extent of 6 credits.
EDMS 879 Doctoral Seminar (1-3
credits)
Prerequisite: permission of department.
Analysis of doctoral projects and theses, and
of other on-going research projects. Doctoral
candidates may participate in the seminar
during as many university sessions as they
desire, but may earn no more than three
semester hours of credit accumulated one hour
at a time in the seminar. A Ph.D. candidate
may repeat to a combined maximum of
eighteen credits in the seminar and in EDMS
899.
EDMS 889 Internship in
Measurement and Statistics (3-
12 credits)
Prerequisite: permission of department.
Provides internship experiences at a
professional level of competence in a
particular role with appropriate supervision.
Credit not to be granted for experience accrued
prior to registration. Open only to students
advanced to candidacy for doctoral degree.
EDMS 898 Pre-Candidacy
Research (1-8 credits)
EDMS 899 Doctoral Dissertation
Research (1-8 credits)
Registration required to the extent of 12-18
credits.
Education Policy
Studies (EDPS)
EDPS 401 Educational Policy,
and Social Change (3 credits)
Credit will be granted for only one of the
following: EDPL401 orEDPS401.
Formerly EDPL401.
An examination of education policy in relation
to the social environment and change.
Contemporary education and social issues are
examined, including technology as a complex
force which influences social change. This is a
Social Foundations course.
EDPS 488 Special Topics in
Education Policy and
Administration (1-3 credits)
Prerequisite: permission of department.
Formerly EDPL488.
Special and intensive treatment of current
topics and issues in education policy and
administration.
EDPS 489 Field Experiences in
Education (1-4 credits)
Prerequisite: permission of department.
Formerly EDPL489.
Planned field experience in education-related
activities. Credit not to be granted for
experiences accrued prior to registration.
EDPS 498 Special Problems in
Education (1-3 credits)
Prerequisite: permission of department.
Formerly EDPL498.
Available only to students who have definite
plans for individual study of approved
problems.
EDPS 499 Workshops, Clinics,
and Institutes (1-6 credits)
Formerly EDPL499.
The following type of educational enterprise
may be scheduled under this course heading:
Workshops conducted by the College of
Education (or developed cooperatively with
other colleges and universities) and not
otherwise covered in the present course listing;
clinical experiences in pupil-testing centers,
reading clinics, speech therapy laboratories,
and special education centers; institutes
developed around specific topics or problems
and intended for designated groups such as
school superintendents, principals, and
supervisors.
EDPS 601 Contemporary Social
Issues in Education (3 credits)
Credit will be granted for only one of the
following: EDHI601, EDPL601, or
EDPS601. Formerly EDPL601.
Theoretical and practical consideration of vital
social issues currently affecting education.
EDPS 610 History of Western
Education (3 credits)
Credit will be granted for only one of the
following: EDHI610, EDPL610, or
EDPS610. Formerly EDPL610.
Educational institutions through the ancient,
376
medieval and early modern periods in western
civilization, as seen against a background of
socio-economic development.
EDPS 611 History of Education
in the United States (3 credits)
Credit will be granted for only one of the
following: EDHI61 1, EDPL61 1, or
EDPS61 1. Formerly EDPL61 1.
A study of the origins and development of
education in the United States, emphasizing
the variety of interpretive and methodological
concerns that define the field.
EDPS 612 Philosophy of
Education (3 credits)
Credit will be granted for only one of the
following: EDHI612, EDPL612. or
EDPS612. Formerly EDPL612.
A study of the great educational philosophers
and systems of thought affecting the
development of modern education, with
particular emphasis on recent scholarship on
philosophical problems in education.
EDPS 613 Educational
Sociology (3 credits)
Credit will be granted for only one of the
following: EDHI613, EDPL613. or
EDPS613. Formerly EDPL613.
The sociological study of education as an
evolving set of methods and procedures, and
body of knowledge. Focuses on several major
theoretical perspectives used by sociologists
studying education.
EDPS 614 Politics of Education
(3 credits)
Credit will be granted for only one of the
following: EDHI614, EDPL614, or
EDPS614. Formerly EDPL614.
Educational institutions as political entities.
Focuses on conceptual perspectives for
examining political dynamics in governmental
and organizational contexts. Provides
opportunities to cany out original case studies
of policy making processes at various levels of
the education policy system.
EDPS 615 Economics of
Education (3 credits)
Credit will be granted for only one of the
following: EDHI615, EDPL615. or
EDPS625. Formerly EDPL615.
An introduction to the application of economic
principles to the study of education policy.
The course content revolves around issues of
efficiency, equity, and freedom of choice.
Specific attention is devoted to school finance
litigation and reform, practices for raising and
allocating resources, and education
productivity issues.
EDPS 620 Education Policy
Analysis (3 credits)
Credit will be granted for only one of the
following: EDHI620, EDPL620, or
EDPS620. Formerly EDPL620.
Policy making in education from planning to
evaluation with emphasis on the identification
of policy problems and the resources available
to analysts through multi-disciplinary
approaches. An introductory experience with
education policy analysis.
EDPS 621 Policy and Program
Evaluation for Organizational
Improvement (3 credits)
Credit will be granted for only one of the
following: EDHI621, EDPL621, or
EDPS621. Formerly EDPL621.
Alternative approaches to the evaluation of
education policies programs. Provides
opportunities to design and conduct an
evaluation. Addresses the various uses of
evaluative information including its role in
organizational decision making and
improvement.
EDPS 622 Education Policy,
Values, and Social Change (3
credits)
Credit will be granted for only one of the
following: EDHI622, EDPL622, or
EDPS622. Formerly EDPL622.
Examination of relationships among
educational policy, values, and social change.
Roles of educational organizations and
institutional change in such social issues as
equity and cultural diversity.
EDPS 623 Education Policy and
Theories of Change (3 credits)
Credit will be granted for only one of the
following: EDHI623, EDPL623, or
EDPS623. Formerly EDPL623.
The work of change theorists in history,
economics, political science, philosophy,
sociology and anthropology as it impinges
upon education policy.
EDPS 624 Culture in Education
Policy and Practice (3 credits)
Credit will be granted for only one of the
following: EDHI624, EDPL624, or
EDPS624. Formerly EDPL624.
Focuses on the exploration of culture as it
shapes and is reflected in education puiposes,
policies and practices. Participants will have
opportunities to engage in cultural analysis, to
analyze unfamiliar cultural habits and
associations, to develop a focus for intensive
comparative cultural study in education, and to
integrate a field work component into their
studies.
EDPS 625 Federal Education
Policy (3 credits)
Credit will be granted for only one of the
following: EDHI625, EDPL625, or
EDPS625. Formerly EDPL625.
Federal involvement in education in the
United States from 1780 to the present,
emphasizing the effects of legislation, court
decisions, agencies, and presidential initiatives
on the distribution of education opportunities.
EDPS 626 Educatin Policy and
the Young (3 credits)
Credit will be granted for only one of the
following: EDHI626, EDPL626, or
EDPS626. Formerly EDPL626.
The systematic exploration of education policy
as it has organized, reflected and influenced
the lives of children, youth, and families, with
particular emphasis on American policies and
systems.
EDPS 634 The School
Curriculum (3 credits)
Credit will be granted for only one of the
following: EDHI634, EDPL634, or
EDPS634. Formerly EDPL634.
A foundations course embracing the
curriculum as a whole from early childhood
through adolescence, including a review of
historical developments, an analysis of
conditions affecting curriculum change, an
examination of issues in curriculum making,
and a consideration of current trends in
curriculum design.
EDPS 635 Principles of
Curriculum Development (3
credits)
Credit will be granted for only one of the
following: EDHI635, EDPL635, or
EDPS635. Formerly EDPL635.
Curriculum planning, improvement, and
evaluation in the schools; principles for the
selection and organization of the content and
learning experiences; ways of working in
classroom and school on curriculum
improvement.
EDPS 636 Communication and
the School Curriculum (3
credits)
Credit will be granted for only one of the
following: EDHI636, EDPL636, or
EDPS636. Formerly EDPL636.
Curriculum development based on
communication as the major vehicle for
describing the learner's interactions with
persons, knowledge, and materials in the
classroom and school environment.
EDPS 663 Policy Formulation in
Education (3 credits)
Credit will be granted for only one of the
following: EDHI663, EDPL663, or
EDPS663. Formerly EDPL663.
Various levels of school governance. Analysis
of policy formation, administration and
evaluation issues.
EDPS 676 School Finance and
Business Administration (3
credits)
Credit will be granted for only one of the
following: EDHI676, EDPL676, or
EDPS676. Formerly EDPL676.
Introduction to principles and practices in the
administration of the public school finance
activity. Sources of tax revenue, the budget,
and the function of finance in the educational
program are considered.
EDPS 679 Master's Seminar (3
credits)
Formerly EDPL679.
Directed study for master's degree students
writing seminar papers.
EDPS 689 Practicum In
Educational Administration and
Supervision (1-3 credits)
Repeatable to 3 credits if content differs.
Formerly EDPL689.
Promotes skill development in managerial,
leadership and supervisory areas. Practicum is
based on results of diagnostic instruments and
an individual professional development plan.
EDPS 690 Research in
Education Policy, Planning and
Administration (3 credits)
Credit will be granted for only one of the
following: EDHI690, EDPL690, or
EDPS690. Formerly EDPL690.
Introduction to research methods and designs
used in studies of education policy, planning,
and administration.
EDPS 703 Quantitative
Applications for Education
Policy Analysis (3 credits)
Two hours of lecture and one hour of
laboratory per week. Prerequisite:
EDMS645 or equivalent. Recommended:
EDMS646 and/or EDMS65 1 ; or
equivalent. Credit will be granted for only
377
one of the following: EDHI703. EDPL703,
EDPL788U, or EDPS703. Formerly
EDPL703.
Students use quantitative applications and
secondary datasets to investigate social
problems and education policies. Emphasis on
the use of quasi-experimental designs and
regression techniques to frame education
issues, analyze, and recommend policies.
EDPS 71 1 Oral History and
Education (3 credits)
Prerequisite: permission of instructor.
Credit will be granted for only one of the
following: EDHI711, EDPL711, or
EDPS7 1 1 . Formerly EDPL7 1 1 .
Introduction to the art, science, and craft of
oral history as reflected in education purposes,
policies and practices.
EDPS 712 Analysis of
Educational Concepts (3
credits)
Credit will be granted for only one of the
following: EDHI712, EDPL712, or
EDPS712. Formerly EDPL712.
Analyses of selected concepts used in thinking
about education.
EDPS 730 Seminar on Case
Study Methods (3 credits)
Prerequisite: EDHI/EDPL/EDPS690 or
equivalent. Credit will be granted for only
one of the following: EDHI730, EDPL730,
EDPL788E, orEDPS730. Formerly
EDPL730.
Conceived as both an analysis of case study
methods and a laboroatory for applying course
content to research topics or projects of
interest to students, it addresses a range of
conceptual, methodological, ethical, political,
and logistical issues embedded in efforts to
conduct thoughtful, "disciplined" case study
research. Since this focuses on case study
research, it may be particularly helpful to
students who are exploring various approaches
to research or who are contemplating using
case study methods in their theises and/or
dissertations .
EDPS 731 Transcultural
Education Policy and Practice (3
credits)
Recommended: EDHI/EDPL/EDPS624 or
a cultural studies equivalent. Credit will be
granted for only one of the following:
EDHI73 1 , EDPL73 1 , or EDPS73 1 .
Formerly EDPL731.
EDPS 732 History of Curriculum
Theory and Development (3
credits)
Prerequisite: EDHI/EDPL/EDPS635 or
permission of department. Credit will be
granted for only one of the following:
EDHI732, EDPL732, orEDPS732.
Formerly EDPL732.
The writings of major educators in curriculum.
Conceptual and formal similarities and
differences between current curriculum
projects and historical antecedents. Survey of
curriculum materials for classroom use in their
relationship to the curriculum theory of their
time.
EDPS 735 Phenomenological
Inquiry I (3 credits)
Credit will be granted for only one of the
following: EDHI735, EDPL 735, or
EDPS735. Formerly EDPL735.
Philosophic grounding for phenomenological
inquiry at a beginning level. Guided writing
practice in doing phenomenological inquiry is
provided on a selected lived experience
phenomenon.
EDPS 736 Phenomenological
Inquiry II (3 credits)
Prerequisite: EDHI/EDPL/EDPS735.
Credit will be granted for only one of the
following: EDHI736, EDPL736 or
EDPS736. Formerly EDPL736.
This advanced course in phenomenological
methodology provides an expanded
philosophic and methodological grounding for
conducting phenomenological research.
Attention is given to the development of
phenomenological projects through the
process of phenomenological writing. It is
especially relevant for persons interested in the
study of lived meanings in the domains of
education, psychology, counseling, the health
sciences and related academic and professional
fields.
EDPS 738 Scholarly Thought
and Contemporary Curriculum
(1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits. Formerly
EDPL738.
Current curricular trends, issues, theory, and
research in the light of past curricular and
social thought.
EDPS 751 Law, Equity, and
Diversity in Education (3
credits)
Prerequisite: EDHI/EDPL/EDPS65 1 or
EDHI/EDPL/EDPS671; or permission of
instructor. Credit will be granted for only
one of the following: EDHI751, EDPL751,
or EDPS751. Formerly EDPL751.
An examination of 'dilemmas of difference' on
selected issues that arise at the intersection of
law and education policy. Dilemmas and value
conflicts imbedded in modern federal and state
case law dealing with race, gender, sexual
orientation, religion and disability.
EDPS 756 Curriculum in Higher
Education (3 credits)
Credit will be granted for only one of the
following: EDHI756, EDPL756, or
EDPS756. Formerly EDPL756.
Conditions affecting curriculum change in
higher education, including critical analysis of
various bases for the college curriculum in the
context of college and university life.
EDPS 757 College Teaching (3
credits)
Credit will be granted for only one of the
following: EDHI757, EDPL757, or
EDPS757. Formerly EDPL757.
Critical review of literature on teaching in
higher education from conceptual and practical
viewpoints. Designed for current and
prospective adult educators. Focused on
research and improvement of instruction.
EDPS 766 Educational Indicator
and Productivity (3 credits)
Prerequisite: EDHI/EDPL/EDPS646; or
EDHI/EDPL/EDPS647; or permission of
department. Credit will be granted for only
one of the following: EDHI766, EDPL766,
or EDPS766. Formerly EDPL766.
Examination of issues in educational
productivity. Focuses on educational
indicators and productivity research. Explores
ways to improve educational effectiveness,
efficiency and equity.
EDPS 788 Special Topics in
Education Policy and
Administration (1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits. Formerly
EDPL788.
Special and intensive treatment of current
topics and issues in education policy and
administration.
EDPS 789 Doctoral Practicum in
Administration and Supervision
(1-3 credits)
Repeatable to 3 credits if content differs.
Formerly EDPL789.
Experiential activities designed to enhance
student skills. Based on Individual
Professional Development Plan for each
student.
EDPS 798 Special Problems in
Education (1-6 credits)
Formerly EDPL798.
Master's, AGS, or doctoral candidates who
desire to pursue special research problems
under the direction of their advisors may
register for credit under this number.
EDPS 799 Master's Thesis
Research (1-6 credits)
Registration required to the extent of six
hours for master's thesis. Formerly
EDPL799.
EDPS 811 Seminar in History of
Education (3 credits)
Credit will be granted for only one of the
following: EDHI8 1 1 , EDPL8 1 1 , or
EDPS8 1 1 . Formerly EDPL8 1 1 .
Examination of current developments and
continuing controversies in the field of history
of education. The analysis of the various ways
in which history of education is approached
methodologically and interpretatively.
EDPS 812 Seminar in
Philosophy of Education (3
credits)
Credit will be granted for only one of the
following: EDHI812, EDPL812, or
EDPS812. Formerly EDPL8 12.
Examination of current developments and
continuing controversies in the field of
philosophy of education. The function of
educational philosophy, methodological
approaches, and current research trends.
EDPS 813 Seminar in
Educational Sociology (3
credits)
Credit will be granted for only one of the
following: EDHI813, EDPL813, or
EDPS813. Formerly EDPL813.
Sociological analysis of educational processes
and institutions; emphasis on the social effects
of formal organizations.
EDPS 837 Race, Class, and
Social Justice: A Policy Seminar
in Curriculum Theory and
Development (3 credits)
Prerequisite: EDHI/EDPL/EDPS635 or
permission of department. Credit will be
granted for only one of the following:
EDHI837, EDPL837, or EDPS837.
Formerly EDPL837.
An advanced seminar focusing upon critical
analyses of the themes, concepts, and language
378
relevant to Curriculum Theory, Policy, and
Research. Focus on education policy issues
relating to race, class, and social justice with
special reference to patterns of economic and
cultural distribution, their impact upon persons
at society's margins and on specific curricular
responses to injustice.
EDPS 888 Apprenticeship in
Education (1-8 credits)
Prerequisite: permission of department.
Formerly EDPL888.
Apprentice practice under professional
supervision in an area of competence
compatible with the student's professional
goals. Credit not to be granted for experience
accrued prior to registration. Open only to
degree- and certific ate -seeking graduate
students.
EDPS 889 Internship in
Education (3-8 credits)
Prerequisite: permission of department.
Formerly EDPL889.
Internship experiences at a professional level
of competence in a particular role with
appropriate supervision. Credit not to be
granted for experience accrued prior to
registration. Open only to students advanced to
candidacy for doctoral degree.
EDPS 898 Pre-Candidacy
Research (1-8 credits)
Formerly EDPL898.
EDPS 899 Doctoral Dissertation
Research (1-8 credits)
Formerly EDPL899.
Registration required to the extent of 6-9 hours
for an Ed.D. Project and 12-18 hours for a
Ph.D. Dissertation.
Education, Special
(EDSP)
EDSP 400 Functional
Assessment and Instruction in
Special Education (3 credits)
For EDSP or 0808P majors only. 3
semester hours. Also offered as EDSP602.
Credit will be granted for only one of the
following: EDSP400 or EDSP602.
Functional assessment procedures and
instructional methods for students with severe
disabilities from birth to adulthood.
EDSP 402 Field Placement:
Severe Disabilities I (2-5
credits)
Pre- or corequisites: EDSP400 and
EDSP404; or permission of department.
Practicum experience in settings serving
severely disabled individuals. Enrollment
limited to those admitted to severely
handicapped specialty area. Field placement
for two to five half-days per week.
EDSP 403 Instruction of
Students with Physical
Disabilities (3 credits)
For EDSP or 0808P majors only. Also
offered as EDSP603. Credit will be granted
for only one of the following: EDSP403 or
EDSP603.
Assessment, curriculum, and instruction for
students with physical disabilities. Focus on
etiology, environmental and learning
adaptations, and assistive technology.
EDSP 404 Education of
Students with Autism (3
credits)
Pre- or corequisites: {EDSP400 and
EDSP402} or permission of department.
Also offered as EDSP604. Credit will be
granted for only one of the following:
EDSP404 or EDSP604.
Characteristics, needs, assessment, and
educational methods for students diagnosed as
autistic.
EDSP 405 Field Placement:
Severe Disabilities II (2-5
credits)
Prerequisite: EDSP402 or permission of
department. Pre- or corequisites: EDSP403,
and EDSP410; or permission of
department.
Practicum experience in settings serving
severely disabled individuals. Field placement
for two to five half-days per week.
EDSP 406 Field Placement I (1-3
credits)
Restricted to students with the following
major codes: EDSP, and 0808P. Credit will
be granted for only one of the following:
EDSP322 or EDSP406. Formerly
EDSP322.
Practicum experience in special education.
EDSP 407 Field Placement II:
Special Education (1-3 credits)
For EDSP or 0808P majors only. Credit
will be granted for only one of the
following: EDSP333 or EDSP407.
Formerly EDSP333.
Practicum experience in special education.
Field placement for two-three half days.
EDSP 410 Functional Reading
and Community Based
Instruction (3 credits)
For EDSP or 0808P majors only. Also
offered as EDSP614. Credit will be granted
for only one of the following: EDSP410 or
EDSP614.
Functional assessment, curriculum, and
instruction related to reading and community
functioning skills for students with severe
disabilities.
EDSP 413 Behavior and
Classroom Management in
Special Education (3 credits)
For EDSP or 0808P majors only. Also
offered as EDSP613. Credit will be granted
for only one of the following: EDSP321,
EDSP413, or EDSP613. Formerly
EDSP321.
Use of applied behavior analysis for
assessment of behavior and learning
environments. Design of behavior and
classroom management of students in special
education.
EDSP 415 Assessment in
Special Education (3 credits)
Recommended: STAT100 or SOCY201.
For EDSP or 0808P majors only. Also
offered as EDSP615. Credit will be granted
for only one of the following: EDSP320,
EDSP415 or EDSP615. Formerly
EDSP320.
Knowledge and skills for understanding
assessment process and interpretation of
assessment data. Emphasis on psychometric
aspects of assessment related to screening,
eligibility, and program planning.
EDSP 416 Reading and Writing
Instruction in Special Education
I (3 credits)
For EDSP or 0808P majors only. Also
offered as EDSP616. Credit will be granted
for only one of the following: EDSP416,
EDSP443 or EDSP616. Formerly
EDSP443.
Assessment and instruction of reading and
writing skills for students in special education.
EDSP 420 Characteristics of
Infants & Young Children: Early
Childhood Special Education (3
credits)
For EDSP or 0808P majors only. Also
offered as EDSP626. Credit will be granted
for only one of the following: EDSP420 or
EDSP626.
Focus on developmental, behavioral, and
learning characteristics of infants and young
children with and without disabilities.
EDSP 421 Field Placement in
Special Education: Early
Childhood I (4 credits)
For EDSP or 0808P majors only. Students
must reserve three half-days per week for
field experience in early childhood special
education.
Field experience I in early childhood special
education.
EDSP 422 Curriculum and
Instruction: Early Childhood
Special Education (3 credits)
For EDSP or 0808P majors only. Also
offered as EDSP627. Credit will be granted
for only one of the following: EDSP422 or
EDSP627.
Curriculum and instruction for young children
with mild and moderate disabilities, preschool
through primary grades.
EDSP 423 Assessment in Early
Childhood Special Education (3
credits)
For EDSP or 0808P majors only. Also
offered as EDSP624. Credit will be granted
for only one of the following: EDSP423 or
EDSP624.
Assessment procedures for infants and young
children with disabilities, birth through age
eight.
EDSP 424 Field Placement in
Special Education: Early
Childhood II (2-4 credits)
For EDSP or 0808P majors only. Students
must reserve three half-days per week for
field experience in early childhood special
education.
Field experience II in early childhood special
education.
EDSP 430 Early Intervention:
Early Childhood Special
Education (3 credits)
For EDSP or 0808P majors only. Also
offered as EDSP63 1 . Credit will be granted
for only one of the following: EDSP430 or
EDSP631.
Intervention with infants and young children
with disabilities. Focus on moderate and
severe disabilities.
EDSP 434 Field Placement in
Special Education: Secondary
Middle I (4 credits)
379
For EDSP or 0808P majors only. Students
must reserve three half-days per week for
field experience in secondary middle
special education.
Field experience I in secondary middle special
education.
EDSP 435 Field Placement in
Special Education: Secondary
Middle II (2-4 credits)
For EDSP or 0808P majors only. Students
must reserve three half-days per week for
field experience in secondary middle
special education.
Field experience II in elementary special
education.
EDSP 450 Inclusive Practices in
the Schools (3 credits)
Also offered as EDSP606. Credit will be
granted for only one of the following:
EDSP450, EDSP606, orEDSP788P.
Educational practices regarding inclusive
education in the schools for students with and
without disabilities.
EDSP 451 Curriculum and
Instruction: Elementary Special
Education (3 credits)
For EDSP or 0808P majors only. Also
offered as EDSP652. Credit will be granted
for only one of the following: EDSP45 1 or
EDSP652.
Methods for instruction of students with
disabilities in the general education
curriculum. Collaboration with other
professionals is included.
EDSP 452 Field Placement in
Special Education: Elementary I
(2-4 credits)
For EDSP or 0808P majors only.
Field experience I in elementary special
education.
EDSP 453 Methods and Models
of Instruction: Elementary
Special Education (3 credits)
For EDSP or 0808P majors only. Also
offered as EDSP653. Credit will be granted
for only one of the following: EDSP453 or
EDSP653.
Focus on models and methods of instruction
responsive to the cognitive, linguistic, and
cultural characteristics of elementary students
in special education.
EDSP 454 Field Placement in
Special Education: Elementary II
(2-4 credits)
For EDSP or 0808P majors only. Students
must reserve three half-days per week for
field experience in elementary special
education.
Field experience II in elementary special
education.
EDSP 455 Assessment in
Elementary Special Education (3
credits)
For EDSP or 0808P majors only. Also
offered as EDSP654. Credit will be granted
for only one of the following: EDSP455 or
EDSP654.
Focus on selection, administration, and
interpretation of assessment tools and results
for designing instruction and evaluating
progress of elementary students in special
education.
EDSP 466 Issues and Models of
Instruction: Middle/Secondary
Special Education (3 credits)
For EDSP or 0808P majors only. Also
offered as EDSP664. Credit will be granted
for only one of the following: EDSP466 or
EDSP664.
Issues, legislation, and service models in
middle/secondary special education. Emphasis
on career and vocational education, self-
determination, and transition.
EDSP 470 Introduction to
Special Education (3 credits)
Not open to students who have completed
EDSP210. Credit will be granted for only
one of the following: EDSP210 or
EDSP470.
Designed to give an understanding of the
needs of all types of exceptional children.
EDSP 474 Assessment in
Middle/Secondary Special
Education (3 credits)
For EDSP or 0808P majors only. Also
offered as EDSP674. Credit will be granted
for only one of the following: EDSP474 or
EDSP674.
Cognitive, vocational, and social assessment
for students with disabilities. Emphasis on
interpretation of assessment results and case
management practices.
EDSP 476 Communicating with
Sign Language (3 credits)
Prerequisite: EDSP376 or permission of
department.
Intermediate level receptive/expressive skills
in American Sign Language. Aspects of the
culture, history, and research perspectives of
the deaf community.
EDSP 477 Curriculum,
Assessment, and Instruction:
Middle/Secondary Special
Education (3 credits)
For EDSP or 0808P majors only. Also
offered as EDSP677. Credit will be granted
for only one of the following: EDSP477 or
EDSP677.
Methods and assessment practices for effective
instruction in middle and secondary content
areas for students in special education.
EDSP 481 Cultural Diversity and
Disability (3 credits)
For 0808P majors only. Also offered as
EDSP681. Credit will be granted for only
one of the following: EDSP481,
EDSP499C, EDSP678C, orEDSP681.
Formerly EDSP499C.
A study of diversity issues within special
education, with attention to uses of race,
culture, and disability as they pertain to
teaching, learning, and social justice.
EDSP 482 Literacy Approaches
for At-Risk Adolescents (3
credits)
Pre- or corequisite: EDHD426 or
permission of department. Also offered as
EDSP682. Credit will be granted for only
one of the following: EDSP482,
EDSP488R, EDSP682, or EDSP788R.
Formerly EDSP488R.
Provides approaches to teaching reading in the
content areas for secondary students with
disabilities.
EDSP 484 Reading and Writing
Instruction in Special Education
11 (3 credits)
Prerequisite: EDSP416. For EDSP or
0808P majors only. Also offered as
EDSP684. Credit will be granted for only
one of the following: EDSP484 or
EDSP684.
Focus on the development of reading and
writing programs for students in special
education. Builds on foundations established
inEDSP416.
EDSP 485 Assessment and
Instruction in Mathematics in
Special Education (3 credits)
For EDSP or 0808P majors only. Also
offered as EDSP683. Credit will be granted
for only one of the following: EDSP485 or
EDSP683.
Instructional methods and assessment in
mathematics in special education .
EDSP 486 Promoting Prosocial
Behavior in Special Education (3
credits)
For EDSP or 0808P majors only. Also
offered as EDSP686. Credit will be granted
for only one of the following: EDSP486 or
EDSP686.
Focus on social development among students
with and without disabilities, the relationship
between pedagogy and student behavior, and
classroom, school, and community approaches
for developing prosocial behavior.
EDSP 487 Family Partnerships
in Special Education (3 credits)
For EDSP or 0808P majors only. Also
offered as EDSP687. Credit will be granted
for only one of the following: EDSP330,
EDSP487, or EDSP687. Formerly
EDSP330.
Strategies for communicating and working
with families of students with disabilities.
EDSP 488 Selected Topics in
Teacher Education (1-3 credits)
Prerequisite: major in education or
permission of department. Repeatable to 6
credits if content differs.
EDSP 489 Field Experiences in
Special Education (1-4 credits)
Prerequisite: permission of department.
Planned field experience in education -related
activities. Credit not to be granted for
experiences accrued prior to registration.
EDSP 490 Capstone Seminar in
Special Education (3 credits)
For EDSP or 0808P majors only.
Study of current issues and research
concerning the education of students in special
education.
EDSP 491 Characteristics of
Learning Disabled Students (3
credits)
Prerequisite: EDSP470 or permission of
department.
Diagnosis, etiology, physical, social, and
emotional characteristics of learning disabled
students.
EDSP 494 Internship: Early
Childhood Special Education (6-
12 credits)
For EDSP or 0808P majors only.
Student teaching, full-time for twelve weeks,
380
with infants or preschool children with
disabilities.
EDSP 495 Internship:
Elementary Special Education
(6-12 credits)
For EDSP or 0808P majors only.
Student teaching, full-time for twelve weeks,
with elementary age children with disabilities.
EDSP 496 Internship:
Middle/Secondary Special
Education (6-12 credits)
For EDSP or 0808P majors only.
Student teaching, full-time for twelve weeks,
with middle or high school age students with
disabilities.
EDSP 498 Special Problems in
Special Education (1-6 credits)
Prerequisite: permission of department.
Available only to education majors who have
definite plans for individual study of approved
problems. Credit according to extent of work.
EDSP 499 Workshops, Clinics,
and Institutes in Special
Education (1-6 credits)
Repeatable to 6 credits if content differs.
The following type of educational enterprise
may be scheduled under this course heading:
workshops conducted by the special education
department (or developed cooperatively with
other departments, colleges and universities)
and not otherwise covered in the present
course listing. Laboratories, and special
education centers; institutes developed around
specific topics or problems and intended for
designated groups such as school
superintendents, principals and supervisors.
EDSP 600 Exceptional Children
and Youth (3 credits)
Prerequisite: 9 hours in special education
and permission of department.
Examines research relevant to the education of
exceptional children and youth.
EDSP 601 Characteristics of
Behaviorally Disordered
Students (3 credits)
Prerequisite: EDSP 600 or permission of
department.
Characteristics and theoretical perspectives
related to students with behavioral disorders.
EDSP 602 Functional
Assessment and Instruction in
Special Education (3 credits)
For EDSP majors only. Also offered as
EDSP400. Credit will be granted for only
one of the following: EDSP400 or
EDSP602.
Functional assessment procedures and
instructional methods for students with severe
disabilities from birth to adulthood.
EDSP 603 Instruction of
Students with Physical
Disabilities (3 credits)
For EDSP majors only. Also offered as
EDSP403. Credit will be granted for only
one of the following: EDSP403 or
EDSP603.
Assessment, curriculum, and instruction for
students with physical disabilities. Focus on
etiology, environmental and learning
adaptations, and assistive technology.
EDSP 604 Education of
Students with Autism (3
credits)
Prerequisite: permission of department.
Also offered as EDSP 404. Credit will be
granted for only one of the following:
EDSP 404 or EDSP 604.
Characteristics, needs, assessment, and
educational methods for students diagnosed as
autistic or having a pervasive development
disorder.
EDSP 605 The Exceptional Child
and Society (3 credits)
Prerequisite: EDSP 600 or permission of
department.
Relationship of the role and adjustment of the
child with an exceptionality to societal
characteristics.
EDSP 606 Advanced Study in
Inclusive Practices in The
Schools (3 credits)
Also offered as EDSP450. Credit will be
granted for only one of the following:
EDSP450, EDSP606, orEDSP788P.
Formerly EDSP788P.
Educational practices regarding inclusive
education in the schools for students with and
without disabilities.
EDSP 607 School Violence and
Disruption (3 credits)
3 semester hours.
An examination of school violence and
disruption from multiple perspectives.
Societal, community, and individual factors
are examined. Prevention strategies,
interagency collaboration, and intervention
techniques are addressed.
EDSP 610 Special Education
Leadership and Administration
(3 credits)
Prerequisite: EDSP600 and permission of
department.
Research and application of programs fro
children and youth with special needs for
specail education administrative and
supervisory personnel.
EDSP 612 Transition Methods
and Disability Systems (3
credits)
Prerequisite: permission of instructor.
Philosophical and historical foundatins of
transition service delivery and current methods
that comprise transition services for students
with disabilities.
EDSP 613 Behavior and
Classroom Management in
Special Education (3 credits)
For EDSP majors only or permission of
instructor. Also offered as EDSP 413.
Credit will be granted for only one of the
following: EDSP 413 or EDSP 613.
Applied behavior analysis for assessment of
behavior and learning environments. Behavior
and classroom management strategies for
students in special education.
EDSP 614 Advanced Topics in
Functional Reading and
Community Based Instruction (3
credits)
For EDSP majors only. Also offered as
EDSP410. Credit will be granted for only
one of the following: EDSP410 or
EDSP614.
Functional assessment, curriculum, and
instruction related to reading and community
functioning skills for students with severe
disabilities.
EDSP 615 Evaluation and
Measurement of Exceptional
Children and Youth (3 credits)
Prerequisites: {EDMS 446; and EDMS
646; and EDSP 600} or permission of
department. Also offered as EDSP 415.
Credit will be granted for only one of the
following: EDSP 415 or EDSP 615.
Deals with the understanding and
interpretation of the results of psychological
and educational tests applicable for use with
exceptional children and youth.
EDSP 616 Reading and Writing
Instruction in Special Education
I (3 credits)
For EDSP majors only. Also offered as
EDSP 416. Credit will be granted for only
one of the following: EDSP 416 or EDSP
616.
Assessment and instruction of reading and
writing skills and strategies for students in
special education.
EDSP 620 Educational
Diagnosis and Planning For
Learning Disabled Students (3
credits)
Prerequisites: {EDSP 491; and EDSP 615}
or permission of department.
Identification of learning characteristics of
learning disabled students and planning of
educational programs.
EDSP 621 Social and Academic
Skill Development for
Behaviorally Disordered
Students (3 credits)
Prerequisites: {EDSP 600; and EDSP 601 }
or permission of department.
Prerequisite: EDSP 600, EDSP 601 or consent
of instructor. Strategies to teach social and
academic skills to behaviorally disordered
students.
EDSP 622 History, Research and
Context in Behavioral and
Learning Di sorders (3 credits)
Prerequisite: EDSP 470 or permission of
department.
Examination of theoretical, historical, and
contextual influences affecting the study and
treatment of persons with behavioral and
learning disorders.
EDSP 623 Challenges and
Issues in Behavioral and
Learning Disorders (3 credits)
Prerequisite: EDSP 470 or permission of
department.
Development and outcomes for students with
behavioral and learning disorders for inclusive
schools, discipline, professional development
and ethics.
EDSP 624 Assessment in Early
Childhood Special Education (3
credits)
For EDSP majors only. Also offered as
EDSP423. Credit will be granted for only
one of the following: EDSP423 or
EDSP624.
Assessment procedures for infants and young
children with disabilities, birth through age
eight.
381
EDSP 625 Seminar on Severely
Handicapping Conditions (3
credits)
Prerequisite: EDSP 600 or permission of
department.
Research and theories relevant to the
education of severely handicapped individuals.
EDSP 626 Characteristics of
Infants and Young Children:
Early Childhood Special
Education (3 credits)
Also offered as EDSP420. Credit will be
granted for only one of the following:
EDSP420 or EDSP626.
Focus on developmental, behavioral, and
learning characteristics of infants and young
children with and without disabilities.
EDSP 627 Curriculum and
Instruction: Early Childhood
Special Education (3 credits)
Also offered as EDSP422. Credit will be
granted for only one of the following:
EDSP422 or EDSP627.
Curriculum and instructor for young children
with mild and moderate disabilities, preschool
through primary grades.
EDSP 631 Early Intervention:
Early Childhood Special
Education (3 credits)
For EDSP majors only. Also offered as
EDSP430. Credit will be granted for only
one of the following: EDSP430 or
EDSP631.
Intervention with infants and young children
with disabilities. Focus on moderate and
severe disabilities.
EDSP 635 Seminar: Behavioral
Disorders (3 credits)
Prerequisite: EDSP 470 or permission of
department.
Methodological and theoretical issues related
to students with behavioral disorders.
EDSP 640 Seminar: Learning
Disorders (3 credits)
Prerequisite: EDSP 470 or permission of
department.
Research and theoretical material relevant to
trends and practices regarding students with
learning disorders.
EDSP 650 Seminar in Early
Childhood Special Education (3
credits)
Prerequisite: 9 hours in special education
including EDSP 600 and EDSP 420, or
permission of department.
Pertinent psychological, educational, medical,
and sociological material relevant to trends
and practices regarding handicapped infants
and preschool children.
EDSP 651 Program Planning
and Instruction for Handicapped
Infants and Children (3 credits)
Pre- or corequisite: EDSP 430 or
equivalent.
Program design for serving high risk and
handicapped infants from birth to three years
of age.
EDSP 652 Curriculum and
Instruction: Elementary Special
Education (3 credits)
Also offered as EDSP451. Credit will be
granted for only one of the following:
EDSP451orEDSP652.
Methods for instruction of students with
disabilities in the general education
curriculum. Collaboration with other
professionals is included.
EDSP 653 Methods and Models
of Instruction: Elementary
Special Education (3 credits)
For EDSP majors only. Also offered as
EDSP453. Credit will be granted for only
one of the following: EDSP453 or
EDSP653.
Focus on models and methods of instruction
responsive to the cognitive, linguistic, and
cultural characteristics of elementary students
in special education.
EDSP 654 Assessment in
Elementary Special Education (3
credits)
Also offered as EDSP455. Credit will be
granted for only one of the following:
EDSP455orEDSP654.
Focus on selection, administration, and
interpretation of assessment tools and results
for designing instruction and evaluating
progress of elementary students in special
education.
EDSP 655 Seminar in Secondary
and Transition Special
Education (3 credits)
Prerequisites: EDSP 600, EDSP 412 or
EDSP 464, or permission of department.
Review of research pertaining to individuals
with disabilities in secondary and post-
secondary vocational and transitional settings.
EDSP 660 Research to Practice
in Special Education (3 credits)
Prerequisite: EDSP 470. For EDSP majors
only.
Graduate seminar for students in the teacher
preparation program in special education.
Focuses on issues in research, methodologies
and applications of current research with
students having disabilities.
EDSP 664 Issues and
Models:Secondary/Middle
Special Education (3 credits)
Prerequisite: permission of department.
Also offered as EDSP 466. Credit will be
granted for only one of the following:
EDSP 466 or EDSP 664.
Issues, legislation, and service models in
secondary/middle special education. Emphasis
on career and vocational education, self-
determination, and transition.
EDSP 665 Families, Culture, and
Disability (3 credits)
Prerequisite: EDSP600 or permission of
department.
Current research on service delivery and
cultural factors that influence families of
children and youth with disabilities.
EDSP 670 Single Subject
Research in Special Education
(3 credits)
Prerequisite: EDSP 600 or permission of
department.
Design, application, and analysis of single
subject research in special education
classrooms across all disabilities.
EDSP 671 Qualitative
Methodologies in Special
Education (3 credits)
Prerequisite: EDSP600 or permission of
department.
Design and evaluation of qualitative research
in special education across disabilities and
ages.
EDSP 673 Evaluating Evidence-
Based Practices in Special
Education (3 credits)
Prerequisite: EDMS645. Recommended:
EDSP600 and EDSP670. Credit will be
granted for only one of the following:
EDSP673 or EDSP798E. Formerly
EDSP798E.
Evaluating evidence-based practices in special
education, by examining theoretical origins,
use of causal designs, programmatic lines of
research, and research syntheses to explore the
development of what it means for an
intervention to have the potential to
significantly impact practice.
EDSP 674 Assessment in
Middle/Secondary Special
Education (3 credits)
For EDSP majors only. Also offered as
EDSP474. Credit will be granted for only
one of the following: EDSP474 or
EDSP674.
Cognitive, vocational, and social assessment
for students with disabilities. Emphasis on
interpretation of assessment results and case
management practices.
EDSP 675 Legal and Policy
Foundations of Special
Education (3 credits)
Prerequisite: Permission of Instructor.
Regulatory and statutory foundations for
public policies addressing the education of
children and youth with disabilities.
EDSP 677 Curriculum,
Assessment, and Instruction:
Middle/Secondary Special
Education (3 credits)
Also offered as EDSP477. Credit will be
granted for only one of the following:
EDSP477 or EDSP677.
Methods and assessment practicies for
effective instruction in middle and secondary
content areas for students in special education.
EDSP 678 Seminar in Special
Education (3 credits)
EDSP 681 Seminar in Cultural
Diversity and Disability (3
credits)
For EDSP majors only. Also offered as
EDSP481. Credit will be granted for only
one of the following: EDSP481 or
EDSP681.
A study of diversity issues within special
education, with attention to issues of race,
culture, and disability as they pertain to
teaching, learning, and social justice.
EDSP 682 Advanced Literacy
Approaches for At-Risk
Adolescents (3 credits)
Also offered as EDSP482. Credit will be
granted for only one of the following:
EDSP482, EDSP488R, EDSP682, or
EDSP788R. Formerly EDSP788R.
Approaches to teaching reading in the content
areas for secondary students with disabilities.
EDSP 683 Assessment and
Instruction in Mathematics in
382
Special Education (3 credits)
For EDSP majors only. Also offered as
EDSP485. Credit will be granted for only
one of the following: EDSP485 or
EDSP683.
Instructional methods and assessment in
mathematics in special education.
EDSP 684 Reading and Writing
Instruction in Special Education
II (3 credits)
Prerequisite: EDSP 616 or permission of
department. Also offered as EDSP 484.
Credit will be granted for only one of the
following: EDSP 484 or EDSP 684.
Development of effective reading and writing
programs for students receiving special
education services. Builds on the foundation
established in EDSP 616.
EDSP 685 Policy Formulation
and Persons with Disabilities (3
credits)
Prerequisite: permission of department.
Research into the process by which policies
regarding persons with disabilities are
formulated, implemented and evaluated.
EDSP 686 Promoting Prosocial
Behavior in Special Education (3
credits)
For EDSP majors only or permission of
department. Also offered as EDSP 486.
Credit will be granted for only one of the
following: EDSP 486 or EDSP 686.
Focuses on effective practices for social
development among students with disabilities.
Classroom, school, and community
approaches for developing prosocial behavior
are covered.
EDSP 687 Family Partnerships
in Special Education (3 credits)
For EDSP majors only. Also offered as
EDSP 487. Credit will be granted for only
one of the following: EDSP 487 or EDSP
687.
Strategies for communicating and working
with families of students with disabilities.
EDSP 690 Teacher Candidate
Research Seminar in Special
Education (3 credits)
Prerequisite: EDSP 470. Corequisite: EDSP
889A. For EDSP majors only.
Required seminar for master's certification
teacher candidates in special education
focusing on research methods and applications
with students having disabilities.
EDSP 691 Graduate Internship
in Special Education I: Early
Childhood (2-4 credits)
For EDSP majors only. Students must
reserve three half-days per week for
internship in early childhood special
education. Credit will be granted for only
one of the following: EDSP431 and
EDSP691. Formerly EDSP431.
Internship I in early childhood special
education.
EDSP 692 Graduate Internship
in Special Education I:
Elementary (2-4 credits)
For EDSP majors. Students must reserve
three-half days per week for internship in
elementary special education. Credit will
be granted for only one of the following:
EDSP456 or EDSP692. Formerly
EDSP456.
Internship I in elementary special education.
EDSP 693 Graduate Internship
in Special Education I:
Sceondary Middle (2-4 credits)
For EDSP majors only. Students must
reserve three half-days per week for
internship in secondary middle special
edcuation. Credit will be granted for only
one of the following: EDSP436 or
EDSP693. Formerly EDSP436.
Internship I in secondary middle special
education.
EDSP 694 Graduate Internship
in Special Education II: Early
Childhood (6-11 credits)
Corequisite: EDSP690. For EDSP or 0808P
majors only. Credit will be granted for only
one of the following: EDSP494, EDSP694,
orEDSP889A.
Student teaching, full-time for twelve weeks,
with infants or preschool children with
disabilities.
EDSP 695 Graduate Internship
in Special Education II:
Elementary (6-11 credits)
Corequisite: EDSP690. For EDSP or 0808P
majors only. Credit will be granted for only
one of the following: EDSP495, EDSP694,
orEDSP888A.
Student teaching, full-time for twelve weeks,
with students with disabilities in elementary
settings.
EDSP 696 Graduate Internship
in Special Education II:
Secondary Middle (6-11 credits)
Corequisite: EDSP690. For EDSP or0808P
majors only. Credit will be granted for only
one of the following: EDSP496, EDSP696,
orEDSP889A.
Student teaching, full-time for twelve weeks,
with students with disabilities in secondary or
middle school settings.
EDSP 788 Selected Topics in
Special Education (1-3 credits)
Repeatable to 6 credits if content differs.
Current topics and issues in teacher education.
EDSP 798 Special Problems in
Special Education (1-6 credits)
Prerequisite: permission of department.
Intended for Master's, AGS, or doctoral
students in education who desire to pursue a
research problem.
EDSP 799 Master's Thesis
Research (1-6 credits)
Registration required to the extent of six hours
for Master's thesis.
EDSP 860 Doctoral Research
Seminar (3 credits)
Issues and procedures relevant to conducting
and analyzing research in special education.
EDSP 872 Theory and Empirical
Design in Special Education
Research (3 credits)
For Doctorial Students only. Prerequisite:
EDMS645 and EDMS646 or permission of
department. Credit will be granted for only
one of the following: EDSP672 or
EDSP872. Formerly EDSP672.
Design and evaluation of quantitative research
in special education across disabilities and
ages.
EDSP 875 Policy Issues
Affecting Individuals with
Disabilities (3 credits)
Prerequisite: EDSP students only or
permission of instructor.
An analysis of current educational and
disability issues and policies pertaining to
children, youth, and adults with disabilities.
EDSP 888 Apprenticeship in
Special Education (1-8 credits)
Prerequisite: permission of department.
Apprentice practice under professional
supervision in an area of competence
compatible with the student's professional
goals. Credit not to be granted for experience
accrued prior to registration. Open only to
degree- and certificate- seeking graduate
students.
EDSP 889 Internship in Special
Education (3-8 credits)
Prerequisite: permission of department.
Internship experiences at a professional level
of competence in a particular role with
appropriate supervision. Credit not to be
granted for experience accrued prior to
registration. Open only to students advanced to
candidacy for doctoral degree.
EDSP 898 Pre-Candidacy
Research (1-8 credits)
EDSP 899 Doctoral Dissertation
Research (1-8 credits)
Registration required to the extent of 6-9 hours
for an Ed.D. Project and 12-18 hours for a
Ph.D. dissertation.
Education (EDUC)
EDUC475Mindtoolsfor
Investigation and Education (3
credits)
One hour of lecture, one hour of laboratory,
and one hour of discussion/recitation per
week. Prerequisite: permission of
department. Junior standing. Also offered
as EDUC698A.
Explore educational games, simulations and
computer modeling platforms common to
many domains from a variety of fields. Focus
on design and research issues pertinent to
learning through simulations and games.
EDUC 476 Assessment and
Design Strategies for Improving
Student Learning: Utilizing Data
with Technology Tool (3
credits)
One hour of lecture, one hour of laboratory,
and one hour of discussion/recitation per
week. Prerequisite: permission of
department. Credit will be granted for only
one of the following: EDUC476 or
EDUC698V. Formerly EDUC698V.
Explore systemic improvement strategies to
curriculum planning, assessment, and
instruction through utilizing data and data
analysis via technology tools. It is designed to
assist educators in identifying and using data
that are most effective in assisting
improvement of student achievement and
system efficacy.
EDUC 477 Assistive Technology
for the Classroom Setting (3
credits)
One hour of lecture, one hour of laboratory,
383
and one hour of discussion/recitation per
week. Prerequisite: permission of
department. Junior standing. Credit will be
granted for only one of the following:
EDUC477 or EDUC4980. Formerly
EDUC4980.
Designed to be an introductory survey course
for educators in the application of assistive
technology in the general classroom setting.
Students will be introduced to various assistive
technologies and strategies.
EDUC 478 Using Information
Technology in Schools (1-3
credits)
One hour of lecture, one hour of laboratory,
and one hour of discussion/recitation per
week. Prerequisite: permission of
department. Junior standing. Repeatable to
6 credits if content differs. Not open to
students who have completed EDUC498K.
Formerly EDUC498K.
Strategies, resources, tools and organizational
concepts for using technology to facilitate
classroom learning and school administrative
functions.
EDUC 498 Selected Topics in
Education (1-3 credits)
Prerequisite: permission of college.
Repeatable to 9 credits if content differs.
Current topics and issues in education.
EDUC 499 Honors Thesis (1-6
credits)
Prerequisites: admission to college honors
program and permission of college.
Individual thesis work under supervision of
faculty advisors; includes periodic seminar
meetings with other honors students engaged
in thesis work.
EDUC 698 Advanced Topics in
Education (1-3 credits)
Prerequisite: permission of college.
Repeatable to 6 credits if content differs.
Arranged study on specific topics in education.
Executive MBA
Program (EMBA)
EMBA 610 Introduction to
Financial Accounting (2 credits)
For EMBA majors only.
Overview of financial accounting, periodic
financial statements and the financial reporting
process. Importance of financial statements as
information source for creditors and investors
and as a means by which managers can
communicate information about their firms.
EMBA 611 Managerial
Accounting (2 credits)
For EMBA majors only.
Use of accounting data in corporate planning
and control. Cost-volume- profit analysis,
budgeting, pricing decisions and cost data,
transfer pricing, activity-based management,
performance measures, and standard costing.
EMBA 616 Accounting for
Senior Management (3 credits)
For EMBA majors only.
This course is designed to give senior
managers an overview of basic financial and
managerial accounting principles and tools
with emphasis on those principles and tools
they can use to support various managerial
decision-making tasks.
EMBA 617 Accounting for
Decision Making (4 credits)
For EMBA majors only.
An overview of financial accounting including
the emphasis on periodic financial statements,
the financial reporting process, the importance
of financial statements as (i) an information
source for creditors and investors and (ii) a
means by which managers can communicate
information about their firms. Overview of
managerial accounting in corporate planning
and control. Specific facets include cost-
volume- profit analysis, budgeting, pricing
decisions and cost data, transfer pricing,
activity-based management, performance
measures, and standard costing.
EMBA 620 Strategic Information
Systems (2 credits)
For EMBA majors only.
Use of information technology to achieve
competitive advantage, efficient operations,
and effective decision making. Analysis of
functions of information technology and its
impact on competitive strategy and
organizational operations.
EMBA 621 Strategic and
Transformational Information
Technology (3 credits)
For EMBA students only.
Use of information technology to achieve
competitive advantage, effcient operations,
and effective decision making. Analysis of
functions of information technology and its
impact on competitive strategy and
organizational operations.
EMBA 623 Data Analysis (2
credits)
For EMBA majors only.
To introduce basic statistical techniques:
summarizing and presenting data; confidence
intervals and hypothesis tests; regression
analysis. To implement these techniques using
spreadsheets. To become active users of data
analysis in making managerial decisions.
EMBA 624 Decision Modeling (2
credits)
For EMBA majors only.
The applicability and use of decision and
management science models have increased
dramatically in recent years due to the
extraordinary improvements in computer,
information and communication technologies.
These developments in hardware and user
interfaces such as spreadsheets have been
complemented by the availability of large
volumes of data, such as the automatic capture
of point-of-sale information, and easy access
to large databases. Personal computers and
friendly interfaces have become effective
delivery vehicles for powerful decision models
that were once the exclusive province of
experts. In this course, we will examine ways
in which complex managerial problems can be
tackled with decision models using
spreadsheets.
EMBA 627 Data Analysis and
Decision Modeling (4 credits)
For EMBA majors only.
Introduces participants to contemporary
techniques for arriving at optimal managerial
decisions. It draws on fundamental ideas in the
fields of statistics and operations research, and
demonstrates their application in modern
business decision-making.
EMBA 630 Data Models and
Decisions (3 credits)
For EMBA majors only.
To develop probabilistic and statistical
concepts, methods and models through
examples motivated by real-life data from
business and to stress the role that statistics
play in the managerial decision making
process.
EMBA 632 Corporate Finance I
(2 credits)
For EMBA majors only.
This course introduces valuation methods in
finance. Executive MBA students will learn
the basic techniques and language of finance,
and will be introduced to some of the
responsibilities of the corporate financial
manager. In particular, the following issues
will be addressed: "The objective of creating
shareholder value;" "Valuation of corporate
securities, including stocks and bonds;" "The
risk-return relationship and its implications for
finance." Financial techniques for evaluating
corporate investments.
EMBA 633 Corporate Finance II
(2 credits)
For EMBA majors only.
This course builds on the concepts and
analytic methods covered in Corporate
Finance I. Executive MBA students will learn
about the structure of financial markets, the
financing and payout choices of large and
small corporations, and the role of risk
management in the coiporation. In particular,
the following issues will be addressed:
"Thedrivers of shareholder value;" "Corporate
financing alternatives and the design of a
company's capital structure;" "Coordinating
investment, financing and payout policies;"
"Corporate Finance Issues for Start-up firms;"
Key issues in international corporate finance."
EMBA 637 Corporate Finance (4
credits)
For EMBA majors only.
Presents key concepts in corporate finance as
well as tools used in making corporate
financial decisions. Topics include valuation
of corporate securities, capital investment
decision making, capital market theory,
operation and efficiency of financial markets,
corporate financing decisions, and risk
management.
EMBA 640 Financial
Management (3 credits)
For EMBA majors only.
Analysis of major corporate financial
decisions using a market-oriented framework.
Topics include capital budgeting, security
portfolio theory, operation and efficiency of
financial markets, options pricing, financing
decisions, capital structure, payout policy and
international finance.
EMBA 646 Global Economics
and Public Policy (3 credits)
For EMBA majors only.
This course is intended to provide the student
with a basic introduction to the
microeconomics of the firm. The emphasis
will be on the firm decision making process
and how that process influences firm
performance. Firm performance can have
many dimensions, although this course will
primarily concern itself with profitability. This
course will examine the market environment
of the firm and the role of government in the
global market. Topics to be covered include
the basic microeconomic principles that firms
utilize in making business decisions, including
demand, elasticities, costs, productivity, and
384
pricing. In addition we will examine the
industry environment that the firm faces
including the concepts of market structure,
market conduct and market performance.
EMBA 647 Economics and
Public Policy (4 credits)
For EMBA majors only.
Introduction to the economic concepts
essential to business decision-making.
Concepts covered include supply, demand,
cost pricing, competition, monopoly, non-
competitive markets, game theory, vertical
integration, regulation, national income
accounting, fiscal policy, monetary policy,
balance of payments accounting, exchange
rates and international economics. Primary
attention is given to cases.
EMBA 650 Marketing
Management (2 credits)
For EMBA majors only.
Analysis of marketing problems and
evaluation of specific marketing efforts
regarding the organization's products and
services, pricing activities, channel selection,
and promotion strategies in both domestic and
international markets.
EMBA 653 Corporate Venturing
(1-3 credits)
For EMBA students only.
One of the key questions this course will help
answer is why certain companies are so much
better at developing and launching new
ventures from the inside than others. We will
investigate what it takes to create an
entrepreneurial and start-up culture in an
established organization. Another critical goal
of the class is to help you become a better
Intrapreneur. Similar to Entrepreneurs in start-
up companies, the skills, knowledge,
motivation and preseverance of the individual
who champions a new venture are critical
success factors.
EMBA 654 Organizational
Change (1-3 credits)
For EMBA students only.
This course is designed to assist you in
developing the skills necessary to successfully
manage change in a turbulent environment. As
part of the course design, an overview of
organizational change management strategies
aimed at improving the organization's ability
to cope with change will be covered. In
addition, the course provides students with the
models for understanding the dynamics of
organizational change. Change management
skills are among the most important skills that
any professional can possess.
EMBA 656 Leadership and
Human Capital (3 credits)
For EMBA majors only.
The overall objective of this course is to
sensitize participants to the fact that managers
face many dilemmas (such as the need to
maintain control, yet be flexible enough to
effectively change as the competitive
environment requires); and therefore,
managers need to have skills that will enable
them to effectively manage and lead, and thus
to become leader-managers. How managing
versus leading-skills differ will be emphasized
in this course. To raise participants' sensitivity
to managerial dilemmas and the skills needed
to effectively manage these, there will be
extensive use of case discussions and video-
clips about challenges faced by companies and
their managers, and extensive opportunities for
self-reflective and experiential exercises. The
development of action-plans for implementing
a desirable change in participants' current job-
situation will also help participants to hone the
skills needed to be effective change-agents,
hence leaders, in their organization.
EMBA 657 Leadership and
Human Capital (4 credits)
For EMBA majors only.
Develops competencies critical for executive
success including communication skills
(verbal, written, listening), interpersonal
sensitivity, teamwork, analytical thinking,
decision-making skills, and planning and
organizing. Topics for discussion include:
leadership, power and influence,
empowerment, strategic vision,
communication and negotiation, conflict,
staffing, legal issues & requirements with
human capital, training, mentoring, career
development, succession planning, motivation,
performance management, goal setting,
feedback, coaching, rewards & incentives,
discipline, designing and building effective
teams, and change management.
EMBA 662 Leadership and
Teamwork (2 credits)
For EMBA majors only.
Course examines concepts of team-building
and leadership which are critical to managerial
success. Topics include leadership, decision-
making, communication and conflict, work
motivation, building effective teams, and
organizational change and culture.
EMBA 663 Managing Human
Capital (2 credits)
For EMBA majors only.
Course examines core human resource
management principles and emphasizes skills
for maximizing an organization's human
capital. Topics include recruitment, selection,
performance feedback and incentives,
termination of poor performers, and managing
organizational change through human resource
systems and policies.
EMBA 664 IT Transformation of
Organizations, Industries and
Markets (2 credits)
For EMBA majors only.
Information technology enables the
transformation of organizations, industries and
markets. The purpose of this course is to
understand the forces within organizations and
industries that combine with the technology to
create these transformations. The course
focuses on general models of transformation
as well as case studies of specific
organizations and industries. Teams of
students will select an industry and prepare a
report on how technology is now or will
transform it, and examine the implications for
how businesses will function in the future.
EMBA 667 Information Systems
Management (4 credits)
For EMBA majors only.
Introduces the key issues in managing
information technology; and stresses
management's role in creating the Netcentric
firm. Topics include IT and its relationship to
corporate strategy, technology itself, the value
and return from IT investments, the major
functional applications of technology, and
organization transformation with IT.
EMBA 671 Supply Chain
Logistics and Operations
Management (2 credits)
For EMBA majors only.
This course introduces students to the concept
of value-driven supply chains and its
integration with operations. The course
focuses on the fundamental principles
underlying supply chains, using insights from
both operations management and logistics.
EMBA 674 Marketing Simulation
(2 credits)
Prerequisite: Marketing Management or
Marketing Strategy. For EMBA majors
only.
This is a capstone marketing course that is
taught primarily through the simulation
MARKSTRAT. As we go through the
simulation we will discuss marketing
strategies designed to manage products in
selected market segments. Topics covered
include competitor analysis, buyer analysis,
market segments, and product strengths and
weaknesses; product related issues are
identified and marketing strategies developed,
assessed and implemented. The material is
then complemented with the MARKSTRAT
simulation. The prerequisite for this course is
Marketing Management or Marketing
Strategy.
EMBA 677 Business and
Product Marketing Strategy (4
credits)
For EMBA majors only.
Analysis of marketing problems and the
design and evaluation of business-level
marketing strategies that encompass the
organization's products and services, pricing
activities, channel selection, and promotion
strategies. Theories, concepts and tools
synthesized via a computer-based marketing
strategy simulation game. Stresses marketing
strategy development and implementation
activities.
EMBA 678 Ethical Leadership
(1-3 credits)
For EMBA students only. Repeatable to 3
credits if content differs.
This course will explore the following topics;
Recognize the scope of managerial agency and
the economic, legal and ethical responsibilities
to various stakeholders, Conduct elementary
ethical analyses of managerial situations using
the principal schools of ethical reasoning,
Identify the economic and ethical properties of
a market mechanism, and the means for
addressing the limitations of a market, and
Recognize ethical leadership as the exercise of
managerial agency in changing existing values
and practices.
EMBA 681 Managerial
Economics and Public Policy (2
credits)
For EMBA majors only.
Basic microeconomic principles used by firms,
including supply and demand, elasticities,
costs, productivity, pricing, marketing
structure and competitive implications of
alternative market structures. Market failures
and government intervention. Public policy
processes affecting business operations.
EMBA 682 Game Theory for
Business Executives (2 credits)
This course analyzes the politics of managerial
decisions. Our focus is on decision-making in
a strategic (or interactive) environment. Such
situations are characterized by conflict (or
competition), but also hold the possibility of
cooperation. We will explore tools from the
385
field of game theory to analyze such decision
making.
EMBA 683 The Global Economic
Environment (2 credits)
For EMBA majors only.
Relationship between national and
international economic environments.
Determinants of output, interest rates, prices
and exchange rates. Analysis of effect of
economic policies (fiscal, monetary, trade, tax)
on the firm and the economy.
EMBA 684 Global Strategy (2
credits)
For EMBA majors only.
This course focuses on the strategic and
organizational challenges facing the
multinational firm. The types of questions that
we address are: Why do firms go abroad?
What differentiates a "global" from a
"multi domestic" industry? What are the
sources of competitive advantage in a global
context? How does a multinational company
play the global chess game? Why and when
do/should companies engage in cross-border
strategic alliances? What are the associated
risks and how to guard against them? What
potential roles can foreign subsidiaries play in
an MNC's global strategy? How do companies
choose an optimal global structure? How do
companies ensure coordination between the
center and the subsidiaries and among
subsidiaries? How do companies manage
strategic change from one type of global
strategy to another?
EMBA 685 Competitive Strategy
(2 credits)
For EMBA majors only.
This course will focus on the fundamental
strategic questions that all general managers,
and other members of any company's
leadership team, face: How to analyze the
structure and evolutionary path of the industry
that you are in, how to decide what businesses
to stay in, newly enter, or exit, and how to
compete in each of the businesses that you
choose to be in. With the goal of
understanding the key concepts and logic that
should guide managers in making these
decisions wisely, we will focus on the
following more specific topics: what is
strategy, analyzing industry structure and
industry dynamics, dynamics of competition
and creation of competitive advantage, the
logic of strategic alliances, and new business
creation.
EMBA 686 Competition,
Strategy and Globalization (3
credits)
For EMBA majors only.
This course will focus on the fundamental
strategic questions that general managers and
other members of any company's leadership
team face in today's dynamic and global
environment: (a) how to analyze the global
structure and the evolutionary path of the
industry that you compete in,(b) how to decide
what businesses to stay in, to newly enter, or
to exit,(c) how to create sustainable
competitive advantage, (d) how to design
global expansion strategies, and (e) how to
convert global competitive advantage.
EMBA 687 Strategy and
Globalization (4 credits)
For EMBA majors only.
Focuses on strategy formulation and
implementation in domestic and global
settings. Topics include: Industry and
competitor analysis, industry and firm value
chain, coherence in overall and functional
strategies, developing global strategies,
leadership, goal setting, organizational
structure, and culture. Course utilizes case
studies from a variety of settings and
emphasizes the evaluation and selection of
strategic choices.
EMBA 688 Strategic
Management (1 credits)
For EMBA students only. Repeatable to 3
credits if content differs.
This course aims to give you the tools,
frameworks, and ideas necessary to develop
business units and corporate strategies for your
oraganizations that fit, both in the short run
and long run. As noted above, this requires
that we appreciate how best to analyze and
identify profit opportunities and threats in
different industies and competitive
environments; how best to analyze and
identify your organization's valuable assets,
resources and capabilities and how they might
be protected, leveraged, and extended inthe
market; how to organize your firm to be best
prepared to adapt its strategy over time as the
market environment changes; how to use
organic growth as well as mergers,
acquisitions, joint ventures, alliances, and
divestitures to ensure that your organization
around its strategy to maximize the probability
of successful strategy implementation.
EMBA 690 Strategic
Management (2 credits)
For EMBA majors only.
Integrative strategic management focusing on
strategy formulation and implementation in
domestic and global settings. Industry and
competitor analysis, industry and firm value
chain, leadership, goal setting, organizational
structure and culture. Case study approach to
top management and organizational problems.
EMBA 693 Supply Chain (2
credits)
For EMBA majors only.
The age of the real-time supply chain has
finally arrived. Companies can now connect
instantaneously with suppliers, distributors,
manufacturers, customers, and alliance
partners around the world. On-line access to
up-to-the minute information enables
companies to improve communication and
project management across the entire supply
chain, promote collaboration across
departments, and enhance customer service
and financial operations. The results are
stunning; for example, a recent survey reports
dramatic increases in revenues and customer
retention and decreases in operating costs and
product cycle times. As competition heats up
from every direction, the ability to design and
manage your supply chain with precision and
speed becomes a business imperative. This
course offers a practical blueprint for building,
implementing, and sustaining supply chains in
today's rapidly changing environment.
EMBA 694 Operations
Management (2 credits)
For EMBA majors only.
A firm has the opportunity to create
competitive advantage through proficient
management of its operations. To do so, the
firm must first recognize and establish the
strategic role of its operations within the
organization. Then, at the more detailed
operational level, the firm must execute
effectively and efficiently. This course
examines the strategic role that the operations
function can play, and offers specific tools and
techniques that the firm can use for strategy
execution. We cover concepts of operations
managment applied to both manufacturing and
services, including operations strategy,
analysis of process flows and bottlenecks,
waiting line models, total quality management,
six sigma, and revenue management.
EMBA 697 Supply Chain
Management (4 credits)
For EMBA majors only.
Introduces students to the concept of value-
driven supply chains and its integration with
operations. It illustrates the design and
management of effective supply chains, based
on the principles developed and the current
practices of firms, illustrated with case studies.
EMBA 711 Financial Planning
and Control Systems (2 credits)
Only for EMBA students.
The role accounting plays in planning and
controlling issues within organizations. It
takes a high-level view of planning and control
and will encourage you to take a very broad
view of the topic.
EMBA 724 International
Financial Management (3
credits)
Prerequisite: EMBA640. For EMBA
majors only.
The role of financial management in the
multinational firm. The financing and
managing of foreign investments, assets,
currencies, imports and exports. National and
international financial institutions and markets.
EMBA 732 Supply Chain
Management (3 credits)
For EMBA majors only.
This course allows students to experience the
real-time world of a glo bal supply chain
manager through a simulation experience, the
Global Supply Chain game. Additionally, it
covers the following topics: supply chain
leadership; multi-channeled demand and
supply management; and supply chains as a
system. Additionally, this course discusses the
architecture, software, and technology of the
real-time supply chains. It provides an analysis
of the process improvements and steps
required for firms to re-engineer their supply
chains in order to reach the new model. As
part of this re-engineering process, there is a
discussion of agile manufacturing as well as
the role of third party logistics providers. A
final section is devoted to the globalization of
supply chains.
EMBA 751 Implementing
Strategy (3 credits)
For EMBA majors only.
Organizational dynamics of competitive
advantage. Impact of alternative organizational
structures, planning and control systems,
human resource management practices, and
executive leadership styles on the
implementation of archetypically different
strategies.
EMBA 757 Marketing Strategy (3
credits)
For EMBA majors only.
A capstone marketing course. Marketing
strategies designed to manage products in
selected market segments. Topics covered
include competitor analysis, buyer analysis,
market segments, and product strengths and
weaknesses; product related issues are
386
identified and marketing strategies developed,
assessed and implemented.
EMBA 758 Special Topics (2-3
credits)
For EMBA majors only. Repeatable to 12
credits if content differs.
Selected advanced topics in the various fields
of graduate study in business.
EMBA 759 Independent Study
(1-6 credits)
For EMBA majors only. Repeatable to 12
credits if content differs.
Independent study for Masters students in
Business.
EMBA 775 Pricing and Revenue
Management (1-3 credits)
For EMBA students only.
Specialized course on pricing and revenue
management (PRM) that provides students
with tools and principles, drawn from several
disciplines (Operations, Microeconomics,
Decision Modeling, Statistics, Marketing, IS)
to make effective pricing decisions. Topics
covered include economics of pricing, strategy
and tactics of PRM, pricing optimization,
differentiated pricing, dynamic pricing, mark-
down pricing, legal and ethical issues in
mode Is/ methods used in making effective
PRM decisions and managerial or
organizational factors that hold the key to
success inexecution of PRM.
EMBA 778 Special Topics (2-3
credits)
Selected advanced topics in the various fields
of graduate study in business.
EMBA 788 Executive Skills
Mastery (1-2 credits)
Two hours of lecture per week. Repeatable
to 12 credits if content differs. Formerly
BMGT788A.
This course is designed to focus on the
development of the specific set of skills that
executives need to successfully perform in
today's organizational environment. Students
complete assessments which help to target
their specific skill level and in the aggregate
give instructors clear ideas on the needs of the
cohort. The assessments also augment
executive coaching, when provided. This is
typically registered as a one credit course
except when a particular program's cirriculum
allocates enough contact hours to all course
topics to be covered at a more advanced level.
EMBA 789 Leadership Mastery
(1-2 credits)
Two hours of lecture per week. Repeatable
to 12 credits if content differs. Formerly
BMGT788B.
This course addresses organizational
challenges from the CEO or C-level
perspective. At this level, the ability to engage
ambiguity and chaos effectively is essential.
Creating strategy while using a systems
approach and understanding how each
functional area interacts with the other (with
both the short-term and long-term in mind) are
of very high importance. The course covers
topics that consume the days of senior level
leaders in organizations. This is typically
registered as a one credit course except when a
particular program's cirriculum allocates
enough contact hours to all course topics to be
covered at a more advanced level.
EMBA 790 Management of
Technology (3 credits)
For EMBA majors only.
Students are introduced to a variety of
strategic and operational issues that arise when
managing in the presence of technological
innovation, and provides techniques to
approach these issues. Topics include the
formulation of innovation strategies,
technology diffusion and forecasting, the
process of developing new products and
services, productivity measurement, and the
implementation of process technologies aimed
at improving productivity (manufacturing and
services).
EMBA 798 Action Learning
Project (1-2 credits)
Repeatable to 9 credits if content differs.
This course is designed to give the student the
opportunity to work on a real-time, salient
business challenge or issue for the sponsoring
organization. This is often the student's
employer. Students are encouraged to design
projects which extend beyond a single
functional area and require them to examine
the interaction of multiple functional areas
from a systems perspective. Students work in
teams for the projects. This allows them to
learn from one another, as well as to learn how
to work more effectively in teams - especially
in a largely virtual environment. This is
typically a two credit course when projects are
initiated and completed entirely within a single
term. It may be a one credit course when
projects extend over more than one term.
Engi
lineering,
Aerospace (ENAE)
ENAE 403 Aircraft Flight
Dynamics (3 credits)
Prerequisites: ENAE432 and ENAE414.
ENAE majors only or permission of
department.
Study of motion of aircraft, equations of
motion, aerodynamic force representation,
longitudinal and lateral motions, response to
controls and to atmospheric disturbances,
handling qualities criteria and other figures of
merit.
ENAE 404 Space Flight
Dynamics (3 credits)
Prerequisite: ENAE301. ENAE majors
only or permission of department.
Three-dimensional motion under central fields.
Solutions to orbital motion, orbital elements,
time elements. Kepler's laws. Orbital
maneuvering, rendezvous and station-keeping.
Rigid-body attitude dynamics, spacecraft
attitude dynamics.
ENAE 414 Aerodynamics II (3
credits)
Prerequisite: ENAE311. ENAE majors
only or permission of department. Junior
standing. Formerly ENAE371.
Aerodynamics of inviscid incompressible
flows. Aerodynamic forces and moments.
Fluid statics/buoyancy force. Vorticity,
circulation, the stream function and the
velocity potential. Bernoulli's and Laplace's
equations. Flows in low speed wind tunnels
and airspeed measurement. Potential flows
involving sources and sinks, doublets, and
vortices. Development of the theory of airfoils
and wings.
ENAE 415 Helicopter Theory (3
credits)
Prerequisite: ENAE414. For ENAE majors
only.
Elementary exposition on the theory and
practice of aerodynamics applied to
helicopters and other rotary wing aircraft.
ENAE 420 Computational
Structural Mechanics (3 credits)
Prerequisite: ENES220, MATH241, Linear
Algebra. For ENAE majors only or with
permission of department.
Introductory of finite element methods for
aerospace engineering modeling and analysis;
equips students with ability to understand
manuals of commercial finite element analysis
software.
ENAE 423 Vibration and
Aeroelasticity (3 credits)
Prerequisite: ENAE324. ENAE majors
only or permission of department.
Dynamic response of single and multiple
degrees of freedom systems, finite element
modeling, wing divergence, aileron reversal,
wing and panel flutter.
ENAE 424 Design and
Manufacture of Composite
Prototypes (3 credits)
Two hours of lecture and three hours of
laboratory per week. Prerequisite:
ENES220. Corequisite: ENAE324. For
ENAE majors only.
Manufacturing practices involving composites.
Developing a manufacturing process for a
composite component integrating the many
aspects including cost, schedule, performance.
Student teams provide oral and written reports
of the design and manufacture of a composite
prototype.
ENAE 425 Mechanics of
Composite Structures (3
credits)
Prerequisite: ENAE324, ENES220,
MATH241, and MATH246.
Introduction to structures composed of
composite materials and their applications in
aerospace. In particular, filamentary composite
materials are studied. Material types and
fabrication techniques, material properties,
micromechanics, anisotropic elasticity,
introduction to failure concepts.
ENAE 432 Control of Aerospace
Systems (3 credits)
Prerequisite: grade of C or better in
ENAE283 and ENAE301. Junior standing.
For ENAE majors only. Formerly
ENAE332.
An introduction to the feedback control of
dynamic systems. Laplace transforms and
transfer function techniques; frequency
response and Bode diagrams. Stability analysis
via root locus and Nyquist techniques.
Performance specifications in time and
frequency domains, and design of
compensation strategies to meet performance
goals.
ENAE 441 Space Navigation and
Guidance (3 credits)
Prerequisites: ENAE432 and ENAE404.
ENAE majors only or permission of
department.
Principles of navigation. Celestial, radio, and
inertial navigation schemes. Navigational and
guidance requirements for orbital, planetary,
and atmospheric entry missions. Fundamentals
of communications and information theory.
Link budgets, antennas and telemetry systems.
387
ENAE 455 Aircraft Propulsion
and Power (3 credits)
Prerequisite: ENAE311, ENAE414 and
ENME232. ENAE majors only or
permission of department.
Thermodynamic cycle analysis,
aerothermochemistry of fuels and propellants,
operating principles of piston, turbojet, fanjet,
and other variations of airbreathing aircraft
power units.
ENAE 457 Space Propulsion and
Power (3 credits)
Prerequisites: ENAE311, ENME232 and
(PHYS270and271 {Formerly:
PHYS263}). ENAE majors only or
permission of department. Senior standing.
Thermodynamic cycle analysis,
aerothermochemistry of fuels and propellants,
operating principles of rocket, ion, and other
exoatmospheric power units.
ENAE 464 Aerospace
Engineering Laboratory (3
credits)
Two hours of lecture and three hours of
laboratory per week. Prerequisites:
ENAE3 1 1 ; ENAE324; ENAE432; and
ENAE362. ENAE majors only or
permission of department.
Application of fundamental measuring
techniques to measurements in aerospace
engineering. Includes experiments in
aerodynamics, structures, propulsion, flight
dynamics and astrodynamics. Correlation of
theory with experimental results.
ENAE 471 Aircraft Flight Testing
(3 credits)
Prerequisite: ENAE414;. Corequisite:
ENAE403. For ENAE majors only.
Provides basic instruction to aircraft flight
testing and demonstrates need for systematic,
well-proven technique to allow for accurate
airplane performance. Concepts of
aerodynamics, airplane performance, and
stability and control. Emphasis on single-
engine general aviation type aircraft.
ENAE 480 Fundamentals of
Engineering Design (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
ENES102, ENES220, ENAE202 or
equivalent. For Aerospace engineering
majors only or with pennission of
department. Credit will be granted for only
one of the following: ENAE480 or
ENAE488P. Formerly ENAE488P.
Presents broad overview at advanced level of
designing a part as it relates to design
philosophies in solving engineering and
manufacturing problems. Emphasis is placed
on manufacturing requirements and their
effects on product processing.
ENAE 481 Principles of Aircraft
Design (3 credits)
Prerequisites: ENAE324, ENAE362 and
ENAE432. Corequisite: ENAE414. ENAE
majors only or permission of department.
Aircraft design principles blending both
synthesis and analysis. The iterative nature of
the design process. Applied aerodynamics.
Elements of aircraft performance calculation
and optimization. Design of aircraft including
payload, crew and avionics provisions,
propulsion selection and sizing, aerodynamic
configuration optimization, mass properties,
stability and control characteristics, and
vehicle subsystems. Individual student
projects in aircraft design.
ENAE 482 Aeronautical Systems
Design (3 credits)
Two hours of lecture and three hours of
laboratory per week. Prerequisites:
ENAE403; ENAE423; ENAE455; and
ENAE481. Senior standing. For ENAE
majors only.
Senior capstone design course in the
aeronautics track. Introduction of
computerized methods for sizing and
performance analysis. More comprehensive
methods to predict weight, aerodynamics and
propulsion system characteristics.
Consideration in design disciplines such as
vulnerability, maintainability, produceability,
etc. Groups of students will complete, brief
and report on a major design study to specific
requirements.
ENAE 483 Principles of Space
Systems Design (3 credits)
Prerequisites: ENAE324; ENAE432;
ENAE362: and ENAE404. ENAE majors
only or permission of department.
Principles of space systems analysis and
vehicle design. Launch vehicle performance
analysis and optimization. Design of vehicle
systems including avionics, power, propulsion,
life support, human factors, structures,
actuator and mechanisms, and thermal control.
Design processes and design synthesis.
Individual student projects in vehicle design.
ENAE 484 Space Systems
Design (3 credits)
Three hours of lecture and six hours of
discussion/recitation per week.
Prerequisites: ENAE423; ENAE441;
ENAE457: and ENAE483. For ENAE
majors only.
Senior capstone design course in the space
track. Group preliminary design of a space
system, including system and subsystem
design, configuration control, costing, risk
analysis, and programmatic development.
Course also emphasizes written and oral
engineering communications.
ENAE 488 Topics in Aerospace
Engineering (1-4 credits)
Technical elective taken with the permission
of the student's advisor and instructor. Lecture
and conference courses designed to extend the
student's understanding of aerospace
engineering. Current topics are emphasized.
ENAE 499 Elective Research (3
credits)
Prerequisites: senior standing in ENAE
major and permission of department,
instructor, and student's advisor.
Repeatable to 6 credits if content differs.
Undergraduate research project and paper
conducted under the direction of an aerospace
engineering faculty member to be presented at
a conference or competition.
ENAE 601 Astrodynamics (3
credits)
Prerequisites: ENAE 404 and ENAE 441 .
Mathematics and applications of orbit theory,
building upon the foundations developed in
ENAE 404 and ENAE 441. Topics include
two body orbits, solutions of Kepler's
equation, the two-point boundary value
problem, rendezvous techniques, and Encke's
method.
ENAE 602 Spacecraft Attitude
Dynamics and Control (3
credits)
Prerequisites: ENAE 404 and ENAE 432.
Rigid body rotational dynamics of spacecraft;
forced and unforced motion, torques produced
by the orbital environment; orbit/attitude
coupling; gas jet, momentum wheel, and
magnetic torque actuators. Elementary
feedback attitude regulators and algorithms for
linear and nonlinear attitude tracking.
ENAE 631 Helicopter
Aerodynamics I (3 credits)
Prerequisites: ENAE 31 1 and ENAE 414 or
permission of both department and
instructor.
A history of rotary-wing aircraft, introduction
to hovering theory, hovering and axial flight
performance, factors affecting hovering and
vertical flight performance, autorotation in
vertical descent, concepts of blade motion and
control, aerodynamics of forward flight,
forward flight performance, operational
envelope, and introduction to rotor acoustics.
ENAE 632 Helicopter
Aerodynamics II (3 credits)
Prerequisites: {ENAE 631; and ENAE 311
and ENAE 414 or equivalent} or
permission of department.
Basic aerodynamic design issues associated
with main rotors and tail rotors, discussion of
detailed aerodynamic characteristics of rotor
airfoils, modeling of rotor airfoil
characteristics, review of classical methods of
modeling unsteady aerodynamics, the problem
of dynamic stall, review of methods of rotor
analysis, physical description and modeling of
rotor vortical wakes, discussion of
aerodynamic interactional phenomena on
rotorcraft, advanced rotor tip design, physics
and modeling of rotor acoustics.
ENAE 633 Helicopter Dynamics
(3 credits)
Prerequisite: ENAE 63 1 or pennission of
both department and instructor.
Flap dynamics. Mathematical methods to
solve rotor dynamics problems. Flap-lag-
torsion dynamics and identify structural and
inertial coupling terms. Overview on rotary
wing unsteady aerodynamics. Basic theory of
blade aeroelastic stability and ground and air
resonance stability, vibration analyses and
suppression.
ENAE 634 Helicopter Design (3
credits)
Prerequisite: ENAE 63 1 or pennission of
both department and instructor.
Principles and practice of the preliminary
design of helicopters and similar rotary wing
aircrafts. Design trend studies, configuration
selection and sizing methods, performance and
handling qualities analyses, structural
concepts, vibration reduction and noise.
Required independent design project
conforming to a standard helicopter request for
proposal (RFP).
ENAE 635 Helicopter Stability
and Control (3 credits)
Prerequisite: {ENAE 631 and ENAE 642,}
or permission of department.
Advanced dynamics as required to model
rotorcraft for flight dynamic studies.
Development of helicopter simulation models
and specifications of handling qualities.
Methods for calculation of trim, poles,
388
frequency response, and free flight response to
pilot inputs.
ENAE 640 Atmospheric Flight
Mechanics (3 credits)
Prerequisite: ENAE 403 or permission of
department.
Studies in the dynamics and control of flight
vehicles. Fundamentals of the dynamics of
rigid and non-rigid bodies and their motion
under the influence of aerodynamic and
gravitational forces.
ENAE 641 Linear System
Dynamics (3 credits)
Prerequisite: ENAE 432.
Linear systems; state space, multi-input, multi-
output models; eigenstructure; controllability,
observability, singular value analysis;
multivariable Nyquist condition; observer
design; introduction to Kalman filtering. Full
state feedback techniques including pole
placement and LQR/LQG techniques;
introduction to loop shaping and robustness.
ENAE 642 Atmospheric Flight
Control (3 credits)
Prerequisites: ENAE 432 and ENAE 403,
or equivalents.
Exposure to flight guidance and control.
Draws heavily from vehicle dynamics as well
as feedback theory, and careful treatment of
the non-linear aspects of the problem is
critical. Conventional sythesis techniques are
stressed, although modern methods are not
ignored. Multivariable system analysis is
included, along with flight-control design
objectives and hardware limitations. Emphasis
on aircraft and missiles.
ENAE 644 Optimal Control of
Aerospace Systems (3 credits)
Prerequisites: ENAE 432, ENAE 403 or
ENAE 404, or equivalents.
Formal optimization of linear and non-linear
dynamic systems, developed rigorously via the
calculus of variations - first and second
variations. Treatment of dynamic constraints,
terminal conditions, fixed and free final times.
Numerical techniques to the non-linear
optimization problem are stressed.
Investigation of optimal aerodynamic shapes,
trajectory optimization, optimal flight
guidance. Final project includes numerical
analysis.
ENAE 651 Smart Structures (3
credits)
Topics related to the analysis, design, and
implementation of smart structures and
systems: modeling of beams and plates with
induced strain actuation; shape memory alloys;
electro-rheological fluids; magnetos trie tor and
electrostricter actuators and fiber optic
sensors.
ENAE 652 Computational
Structural Mechanics (3 credits)
Prerequisite: permission of both department
and instructor.
Fundamentals of structural mechanics and
computational modeling. Finite element
modeling of two- and three-dimensional
solids, plates and shells. Geometrically
nonlinear behavior. Structural stability such as
buckling and postbuckling.
ENAE 653 Nonlinear Finite
Element Analysis of Continua (3
credits)
Prerequisite: ENAE 652 or equivalent.
Finite element formulation of nonlinear and
time dependent processes. Introduction to
tensors, nonlinear elasticity, plasticity and
creep. Application to nonlinear solids
including aerospace structures, such as shells
undergoing finite rotations.
ENAE 654 Mechanics of
Composite Structures (3
credits)
Prerequisite: ENAE 452 or permission of
both department and instructor..
Corequisite: ENAE 423 or equivalent.
An introduction to structures composed of
composite materials and their applications in
aerospace. In particular, filamentary composite
materials are studied. Material types and
fabrication techniques, material properties,
micromechanics, anisotropic elasticity,
introduction to failure concepts.
ENAE 655 Structural Dynamics
(3 credits)
Prerequisite: ENAE 452 or permission of
department.
Advanced principles of dynamics necessary
for structural analysis; solutions of eigenvalue
problems for discrete and continuous elastic
systems, solutions to forced response
boundary value problems by direct, modal,
and transform methods.
ENAE 656 Aeroelasticity (3
credits)
Prerequisite: ENAE 655 or permission of
department.
Topics in aeroelasticity: wing divergence;
aileron reversal; flexibility effects on aircraft
stability derivatives; wing, empennage and
aircraft flutter; panel flutter; aircraft gust
response; and aeroservoelasticity of airplanes.
ENAE 661 Advanced Propulsion
I (3 credits)
Prerequisites: ENAE 455; and ENAE 457.
Special problems of thermodynamics and
dynamics of aircraft power plants; jet, rocket
and ramjet engines. Plasma, ion and nuclear
propulsion for space vehicles.
ENAE 662 Advanced Propulsion
II (3 credits)
Prerequisite: ENAE 661.
Special problems of thermodynamics and
dynamics of aircraft power plants; jet, rocket
and ramjet engines. Plasma, ion and nuclear
propulsion for space vehicles.
ENAE 665 Advanced
Airbreathing Propulsion (3
credits)
Prerequisite: ENAE455 and ENAE674 or
equivalent; and permission of instructor.
Advanced treatment of airbreathing propulsion
technologies, propulsion system analysis, and
engine/airframe integration. Topics will vary,
but may include novel engine cycles, advanced
gas turbine systems, pulsed systems, and high-
speed engines, including scramjets and
combined cycle systems.
ENAE 667 Advanced Space
Propulsion and Power (3
credits)
Prerequisite: ENAE788L and permission of
instructor.
Advanced treatment of selected space
propulsion and power technologies, methods
of analysis and performance estimation.
Topics will vary each year as time permits, but
may include cold gas systems, chemical,
nuclear, arcjets, beamed energy, and electric
propulsion systems, as well as other advanced
concepts.
ENAE 670 Fundamentals of
Aerodynamics (3 credits)
Prerequisite: permission of department.
Introduction to aerodynamics for aerospace
engineering students specializing in fields
other than aerodynamics. Broad coverage of
flight regimes, inviscid theory, incompressible
theory, subsonic compressible flow, linearized
supersonic flow, hypersonic flow, viscous
flows, Navier-Stokes equations, boundary
layer theories.
ENAE 672 Aerodynamics of
Incompressible Fluids (3
credits)
Prerequisite: MATH 463 or permission of
instructor,
Fundamental equations in fluid mechanics.
Irrotational motion. Circulation theory of lift.
Thin airfoil theory. Lifting line theory. Wind
tunnel corrections. Perturbation methods.
ENAE 674 Aerodynamics of
Compressible Fluids (3 credits)
Prerequisite: ENAE 471 or permission of
department.
One-dimensional flow of a perfect
compressible fluid. Shock waves. Two-
dimensional linearized theory of compressible
flow. Two-dimensional transonic and
hypersonic flows. Exact solutions of two-
dimensional isotropic flow. Linearized theory
of three-dimensional potential flow. Exact
solution of axially symmetrical potential flow.
One-dimensional flow with friction and heat
addition.
ENAE 676 Aerodynamics of
Viscous Fluids (3 credits)
Prerequisite: ENAE 416 or permission of
department.
Derivation of navier stokes equations, some
exact solutions: boundary layer equations.
Laminar flow-similar solutions,
compressibility, transformations, analytic
approximations, numerical methods, stability
and transition to turbulent flow. Turbulent
flow-istropic turbulence, boundary layer
flows, free mixing flows.
ENAE 681 Engineering
Optimization (3 credits)
Prerequisite: permission of department.
Methods for unconstrained and constrained
minimization of functions of several variables.
Sensitivity analysis for systems of algebraic
equations, eigenvalue problems, and systems
of ordinary differential equations. Methods for
transformation of an optimization problem into
a sequence of approximate problems.
Optimum design sensitivity analysis.
ENAE 682 Hypersonic
Aerodynamics (3 credits)
Prerequisite: permission of department.
Hypersonic shock and expansion waves,
Newtonian theory, Mach methods, numerical
solutions to hypersonic inviscid flows,
hypersonic boundary layer theory, viscous
interactions, numerical solutions to hypersonic
viscous flows. Applications to hypersonic
vehicles.
ENAE 683 High Temperature
Gas Dynamics (3 credits)
Prerequisite: permission of department.
Aspects of physical chemistry and statistical
thermodynamics necessary for the analysis of
high temperature flows, equilibrium and
389
nonequilibrium chemically reacting flows,
shock waves, nozzle flows, viscous chemically
reacting flow, blunt body flows, chemically
reacting boundary layers, elements of radiative
gas dynamics and applications to hypersonic
vehicles.
ENAE 684 Computational Fluid
Dynamics I (3 credits)
Prerequisite: permission of department.
Partial differential equations applied to flow
modelling, fundamental numerical techniques
for the solution of these equations, elliptic,
parabolic, and hyperbolic equations, elements
of finite difference solutions, explicit and
implicit techniques. Applications to
fundamental flow problems.
ENAE 685 Computational Fluid
Dynamics II (3 credits)
Prerequisite: ENAE 684 or permission of
department.
Continuation of ENAE 684. Basic algorithms
for the numerical solution of two and three
dimensional inviscid and viscous flows.
Applications to internal and external flow
problems.
ENAE 688 Seminar (1-3 credits)
ENAE 691 Satellite Design (3
credits)
Prerequisite: ENAE 483.
Systems design of Earth -orbiting satellites,
including geostationary communications
satellites and low Earth orbit constellations.
Basics of orbital motion, communications, and
instrument design. Spacecraft systems,
structural design, thermal design, power
generation, and attitude determination and
control. Launch vehicle interfacing and
mission operations.
ENAE 692 Introduction to Space
Robotics (3 credits)
Analysis techniques for manipulator
kinematics and dynamics. DH parameters,
serial and parallel manipulators, approaches to
redundancy. Applications of robots to space
operations, including manipulators on free-
flying bases, satellite servicing, and planetary
surface mobility. Sensors, actuators, and
mechanism design. Command and control
with humans in the loop.
ENAE 693 Space Simulation (3
credits)
Physical characteristics of the space
environment, and approaches to simulating
them on Earth. Systems modeling; kinematics
and dynamics. Required degrees of freedom
and levels of fidelity. Physical simulations,
including neutral buoyancy, air-bearing, and
motion carriages. Instrumentation and data
collection, error analysis, correlation, and
performance metrics.
ENAE 694 Spacecraft
Communications (3 credits)
Brief overview of satellite orbits. Radio
frequency communications, noise, and
bandwidth limitations. Link budget analysis.
Modulation and multiplexing approaches,
multiple access systems. Satellite transponder
and Earth station technology.
ENAE 696 Spacecraft Thermal
Design (3 credits)
Thermal sources in space. Black-body
radiation; absorptivity and emissivity;
radiative thermal equilibrium. Mutually
radiating plates, view angles, and interior
conduction. Techniques of spacecraft thermal
analysis; approaches to passive and active
thermal control.
ENAE 697 Space Human Factors
and Life Support (3 credits)
Engineering requirements supporting humans
in space. Life support design: radiation effects
and mitigation strategies; requirements for
atmosphere; water, food, and temperature
control. Accommodations for human
productivity in space: physical and
psychological requirements; work station
design; and safety implication of system
architectures. Design and operations for extra-
vehicular activity.
ENAE 741 Interplanetary
Navigation and Guidance (3
credits)
Prerequisites: ENAE 432 and ENAE 601 .
Interplanetary trajectory construction; patched
and multiconic techniques. Methods of orbit
and attitude determination; applied Kalman
filtering. Guidance algorithms and B-plane
targeting. Interplanetary navigation utilizing in
situ and radio techniques.
ENAE 742 Robust Multivariable
Control (3 credits)
Prerequisites: ENAE 432 or equivalent,
plus graduate-level exposure to linear
systems and linear algebra.
Limitations on achievable performance in
multivariable feedback systems due to
uncertainty. Singular values, matrix norms,
multivariable Nyquist stability theory,
uncertainty modeling in aerospace systems.
Loop-shaping, generalization of Bode design
principles. Characterizing the uncertainty,
robustness and performance analysis, and
synthesis, primarily in the frequency domain.
Current research directions. Aerospace
examples are used to complement the theory.
ENAE 743 Applied Nonlinear
Control of Aerospace Vehicles
(3 credits)
Prerequisite: ENAE 641.
Modern methods of analysis and synthesis of
multivariable nonlinear control techniques for
aircraft, spacecraft, and space manipulator
systems. Topics include passivity and
Lyapunov theory, feedback linearization,
nonlinear observers, Hamiltonian methods,
robust controller design, and an introduction to
adaptive nonlinear control methods.
ENAE 757 Advanced Structural
Dynamics (3 credits)
Prerequisite: ENAE655 or equivalent.
Model correlation and updating of multi
degree -of- freedom structural systems. Wave
propagation analysis of structural dynamics.
Structural health monitoring and damage
detection methods. Stationary and non-
stationary methods for vibration analysis.
Applications include rotorcraft, aircraft, and
spacecraft structures.
ENAE 788 Selected Topics in
Aerospace Engineering (1-3
credits)
ENAE 791 Launch and Entry
Vehicle Design (3 credits)
Prerequisite: ENAE 601.
Design of aerospace vehicles for atmospheric
transit to and from space. Generic formulation
of atmospheric flight dynamics. Ballistic and
lifting entry trajectories. Estimation of vehicle
aerodynamic properties and
aerothermodynamic heating. Entry thermal
protection design. Trajectory analysis of
sounding rockets and orbital launch vehicles.
Serial, parallel, and hybrid multistaging
schemes, optimal multistaging. Constrained
trajectory optimization. Launch vehicle
economic and reliability analysis, flight
termination systems, sensors and actuators.
ENAE 799 Master's Thesis
Research (1-6 credits)
ENAE 898 Pre-Candidacy
Research (1-8 credits)
ENAE 899 Doctoral Dissertation
Research (1-8 credits)
Biological
Resources
Engineering (ENBE)
ENBE 415 Bioengineering of
Exercise Response (3 credits)
Prerequisite: MATH246 or permission of
department.
Exercise physiology in quantitative terms.
Modeling and prediction of cardiovascular,
respiratory, thermoregulatory, biomechanical,
and metabolic aspects of human exercise
responses.
ENBE 422 Water Resources
Engineering (3 credits)
Prerequisite: ENME342 or ENCE330; or
permission of department. Formerly
INAG422.
Applications of engineering and soil sciences
in erosion control, drainage, irrigation and
watershed management. Principles of
agricultural hydrology and design of water
control and conveyance systems.
ENBE 462 Nonpoint Source
Pollution Assessment
Techniques (3 credits)
Prerequisite: one course in hydrology or
permission of department.
Various techniques to identify and measure
nonpoint source pollution. Primary focus is on
agriculture and water.
ENBE 485 Capstone Design I (1
credits)
One hour of lecture per week. Prerequisite:
ENBE454, ENBE455, and permission of
department. Senior standing. For ENBE
majors only.
To complete the curriculum of an
undergraduate engineer, design procedures and
professional concerns will be presented.
Students will begin planning and designing
their capstone projects. CORE capstone credit
for ENBE485 and ENBE486 will not be
awarded until satisfactory completion of
ENBE486.
ENBE 486 Capstone Design II (2
credits)
Two hours of lecture per week.
Prerequisite: ENBE485 taken in the
immediately preceding semester. Senior
standing. For ENBE majors only.
To complete the curriculum of an
undergraduate engineer, design procedures and
professional concerns will be presented. A
complete, comprehensive, and professional
design project will be realized by the student.
CORE Capstone credit for ENBE485 and
ENBE486 will not be awarded until
satisfactory completion of ENBE486.
390
ENBE 488 Special Topics in
Biological Engineering (1-4
credits)
Prerequisite: permission of department.
Lecture and conference courses designed to
extend the student's understanding of
biological resources engineering. Current
topics are emphasized.
ENBE 489 Special Problems in
Biological Engineering (1-3
credits)
Prerequisite: permission of department.
Student will select an engineering problem and
prepare a technical report. The problem may
include design, experimentation, and/or data
analysis.
ENBE 601 Instrumentation
Systems (3 credits)
Prerequisite: permission of instructor.
Formerly ENAG601.
Analysis of instrumentation requirements and
techniques for research and operational
agricultural or biological systems.
ENBE 603 Transport Processes
in Biological Systems (3
credits)
Prerequisites: differential equations and
one semester of life sciences, or permission
of department. Not open to students who
have completed ENBE 454. Credit will be
granted for only one of the following:
BIOE332, ENBE 454 or ENBE 603.
A study of the transport processes of fluid
flow, heat transfer, and mass transfer applied
to biological organisms and systems, using
analogical and systems approaches.
ENBE 631 Modeling Flow
Through Porous Media (3
credits)
Prerequisite: ENBE 422 or permission of
department. Formerly ENAG631.
A comprehensive study of the principles and
processes governing flow of water, chemicals,
and biological organisms through porous
media.
ENBE 633 Nonpoint Source
Pollution Control (3 credits)
Prerequisite: permission of instructor.
Identification and control of Nonpoint Source
(NPS) pollution. Primary focus is on the
conjunctive use of mathematical modelling,
artifical intelligence (AI), geographic
information systems (GIS) and remote sensing
(RS) in the development and validation of
field, watershed and regional scale NPS
pollution control plans.
ENBE 653 Biological
Engineering Materials and
Mechanics (3 credits)
Not open to students who have completed
ENBE 453. Credit will be granted for only
one of the following: ENBE 453 or ENBE
653.
Engineering properties of living and non-
living materials and their relationships to
biomechanics. Responses of biological tissues
to imposed stresses.
ENBE 688 Advanced Topics in
Biological Engineering (1-4
credits)
Prerequisite: permission of department.
Repeatable to 9 credits if content differs.
Formerly ENAG688.
Advanced topics of current interest in the
various areas of biological engineering.
ENBE 698 Seminar (1 credits)
Formerly ENAG698.
First and second semesters.
ENBE 699 Special Problems in
Biological Engineering (1-6
credits)
Prerequisite: permission of department.
Formerly ENAG699.
Individual study on various topics. Work
assigned in proportion to amount of credit.
ENBE 701 Bioengineering
Analysis of Human
Physiological Response (3
credits)
Modeling of human physiology yields insight,
understanding and the ability to predict
responses. This course will present
physiological principles from a bioengineering
viewpoint; survey basic models appearing in
the literature and the mechanics and control of
energetics, biomechanics, cardiovascular,
thermal, and respiratory responses.
ENBE 799 Master's Thesis
Research (1-6 credits)
Formerly ENAG799.
ENBE 898 Pre-Candidacy
Research (1-8 credits)
ENBE 899 Doctoral Dissertation
Research (1-8 credits)
Formerly ENAG899.
Engineering, Civil
(ENCE)
ENCE 402 Simulation and
Design of Experiments for
Engineers (3 credits)
Prerequisites: ENCE302 and permission of
department.
Review of statistics and hypothesis testing,
sample design and design of experiments,
generation of discrete and continuous
distributions and their applications.
Introduction of simulation languages and
simulation of discrete and continuous
engineering systems. Output analysis, model
validation and sensitivity and reliability
analysis.
ENCE 411 Environmental
Engineering Science (3 credits)
Two hours of lecture and four hours of
laboratory per week. Prerequisites:
ENCE3 10 and permission of department.
The basic physical, chemical and biological
processes that occur in engineered and natural
environmental systems will be discussed.
Included will be presentation of parameters
used to describe the quality of water, air and
land. Measurement techniques will be
discussed. A weekly lab will provide hands-on
experience with environmental quality
measurements and treatment techniques.
ENCE 412 Environmental
Engineering Unit Operations (3
credits)
Prerequisites: ENCE305, ENCE310 and
permission of department.
Examination of unit operations and processes
encountered in environmental engineering
field. Fundamental principles learned from
previous classes will be applied into the design
and operation of unit operations and processes,
particularly in the area of water and
wastewater treatment. Similar processes will
be applied to air pollution control, solid waste
disposal and hazardous waste treatment.
ENCE 420 Selection and
Utilization of Construction
Equipment (3 credits)
Prerequisite: ENCE320 or equivalent; and
permission of department. Senior standing.
Construction equipment for excavation,
hauling, lifting, structural assembly, paving,
and allied functions. Fundamentals of
equipment performance, productivity
calculations, and cost management. Matching
of construction tasks to appropriate
construction equipment. Innovative
technologies in equipment design and
performance. Information technology and
automation for construction equipment. Field
demonstrations of earth-moving and lifting
equipment.
ENCE 421 Legal Aspects of
Engineering Practice (3 credits)
Prerequisites: ENCE320 or equivalent; and
permission of department. For ENCE
majors, PM minors, or permission of
department.
Study legal principles relevant to engineering
design and construction contracts. Specific
subjects covered include engineering design
and construction contracts, torts, agency,
professional liability, labor laws, insurance,
expert testimony, mediation and arbitration,
intellectual property, patents and copyrights,
sureties and ethics. Study principles of ethical
and professional conduct of engineers.
Gaining familiarity with the basic structure of
the US legal system as it relates to legal
obligations and responsibilities of engineers.
ENCE 422 Project Cost
Accounting and Economics (3
credits)
Prerequisite: ENCE201 and permission of
department. For ENCE majors, PM minors,
or permission of department.
Reviews the fundamentals of accounting;
examines project cost accounting principles as
they apply to project management; project cost
accounting; reading financial statements; cash
management; cash flow analysis; depreciation
and taxes; and impact on profitability;
examines the principles of activity based
costing; net present value analysis; introduces
the framework for project performance
measurement, cost performance indices, and
earned value analysis.
ENCE 423 Project Planning,
Scheduling and Control (3
credits)
Prerequisite: ENCE320 or equivalent; and
permission of department. For ENCE
majors, PM minors, or permission of
department.
Students will learn the basics of project
scheduling. Several methods will be covered
including bar charts, network-based and linear
scheduling. Emphasis will be placed on
Critical Path Method (CPM) scheduling which
is a network based methodology. Students will
learn to use scheduling software and will
develop a CPM schedule for an actual
construction project as part of the semester
project. Students will also learn the
fundamental contractual aspects related to
project schedules.
391
ENCE 424 Communication for
Project Managers (3 credits)
For Project Management Minors and CEE
Majors only; or permission of department.
The fundamentals of communications for
project managers. Emphasis on interpersonal
and group communications; communication
through voice, electronic, and written
messages; project cycle and reports and
presentations during this cycle; and
communications for employment.
ENCE 425 Decision Analysis for
Engineering (3 credits)
Prerequisites: ENCE302, MATH141 or
equivalent; and permission of department.
Probability basics, subjective probability,
using data, introduction to decision analysis,
elements of decision problems, structuring
decisions, making choices, sensitivity analysis,
creativity and decision-making, Monte Carlo
simulation, value of information, risk-based
decision making and multi-criteria ranking.
ENCE 431 Hydrologic
Engineering (3 credits)
Prerequisites: ENCE305 and permission of
department.
An introduction to basic principles of
hydrologic science including the hydrologic
cycle, rainfall, surface runoff and streamflow.
Special emphasis is placed on hydrologic
engineering design of stormwater management
and flood control facilities. Design projects are
used to illustrate design practices.
ENCE 432 Ground Water
Hydrology (3 credits)
Prerequisites: ENCE 305 and permission of
department.
Concepts related to the development of the
ground water resources, hydrology,
hydrodynamics of flow through porous media,
hydraulics of wells and basin-wide ground
water development. Fundamentals of ground
water pollution are introduced.
ENCE 441 Foundation Design (3
credits)
Prerequisites: ENCE340 and permission of
department.
Critical review of classical lateral earth
pressure theories, analysis of retaining walls
and reinforced earth walls, subsurface
explorations, bearing capacity and settlement
of shallow foundations, design of deep
foundations that includes both pile foundations
and drilled shafts.
ENCE 444 Experimental
Methods in Geotechnical
Structural Engineering (3
credits)
One hour of lecture and four hours of
laboratory per week. Prerequisites:
ENCE340 and ENCE353; and permission
of department.
In the geotechnical engineering part of the
course, major soils testing and their
interpretation including classification,
compaction, strength, and compressibility will
be undertaken. The structural engineering part
of this course covers test planning, loading
apparatus, instrumentation, data acquisition
and data analysis, as well as basic aspects of
structural testing techniques and shake-table
test.
ENCE 447 Pavement
Engineering (3 credits)
Prerequisites: ENCE340 and permission of
department.
Fundamental principles underlying the design,
construction, maintenance and repair, and
management of highway and airfield pavement
systems. Pavement performance
(functional/structural; evaluation); pavement
mechanics (multi -layered elastic theory; slab
theory); pavement materials (properties and
characterization); environmental effects;
current rigid and flexible design methods
(new/rehabilitation); construction (new
construction; maintenance/repair;
rehabilitation); economic evaluation;
pavement management.
ENCE 453 Computer-Aided
Structural Analysis (3 credits)
Two hours of lecture and one hour of
laboratory per week. Prerequisite:
ENCE353 and permission of department.
Computer-aided analysis of structural systems.
Unified matrix formulation of stiffness and
flexibility methods. Slope deflection method.
Evaluation of truss, frame, and grid systems.
Non-prismatic and curved elements. Error
analysis and determination of ill-conditions.
Introduction to finite element methods;
formulation of simple two-dimensional
elements. In laboratory, use and development
of CAD software.
ENCE 454 Design of Concrete
Structures (3 credits)
Prerequisites: ENCE353 and permission of
department. Formerly ENCE451.
Combined bending and compression,
development and anchorage of reinforcement,
deflections, design of slabs including one-way
and two-way, design of footings, retaining
walls, introduction to prestressed concrete,
design of multi-story buildings.
ENCE 455 Design of Steel
Structures (3 credits)
Prerequisites: ENCE353 and permission of
department.
Behavior and design of members subjected to
fatigue, and combined bending and
compression; plate girders, composite beams,
open-web joists and connections. Methods of
allowable stress design, and load and
resistance factor design. Elements of plastic
analysis and design. Framing systems and
loads for industrial buildings and bridges.
ENCE 456 Intermediate Strength
of Materials (3 credits)
Prerequisites: ENCE353 and permission of
department. Credit will be granted for only
one of the following: ENCE410 or
ENCE456.
The small deflection engineering theory of
long, straight beams with arbitrary but
compact cross-sections. Beam bending and
extension via the Bernoulli-Euler
approximation. Beam torsion from the theory
of elasticity and the membrane analogy. Beam
shearing stresses.
ENCE 466 Design of Civil
Engineering Systems (3 credits)
Must be taken in the semester in which the
student graduates. Prerequisite: permission
of department. Senior standing.
A major civil engineering design experience
that emphasizes development of student
creativity, development and use of design
methodologies, evaluation of alternate
solutions, feasibility considerations, and
detailed system descriptions. Realistic design
constraints including economic factors, safety,
aesthetics, and reliability will be imposed.
Students will work in design project groups
and be required to exercise oral and written
communication skills.
ENCE 470 Highway Engineering
(3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
ENCE302, ENCE370 and permission of
department.
Highway location and design, highway
engineering economics, traffic engineering,
traffic measurement devices and technologies.
Includes discussion of technological advances
in traffic flow and capacity, such as signal
systems, corridor control, automatic driver
information, incident detection and
autonomous vehicle operation.
ENCE 472 Transportation
Engineering (3 credits)
Prerequisite: ENCE302, ENCE370 and
permission of department.
Transportation engineering concepts including
transportation systems analysis, airport
systems, airline and airport operations, marine
transportation and urban public transportation
systems.
ENCE 488 Senior Thesis (3
credits)
Prerequisite: permission of department.
Senior standing.
Advanced study in civil engineering problems
with special emphasis on mathematical
modeling and experimental methods.
ENCE 489 Special Problems in
Civil Engineering (1-4 credits)
Prerequisite: permission of department.
Senior standing.
A course arranged to meet the needs of
exceptionally well prepared students for study
in a particular field of civil engineering.
ENCE 600 Global Project
Management (3 credits)
Recommended: ENCE662. For ENCE or
ENPM majors only or permission of
department.
An overview of global project management
from initiation through planning, execution,
closing and with general emphasis on control
will be provided. It is designed to augment the
basics of domestic project management with
information pertinent to the global project
environment working in multiple countries,
culture, s, time zones and working virtually.
ENCE 601 Program and
Portfolio Management (3
credits)
Credit will be granted for only one of the
following: ENCE601 orENCE688F.
Formerly ENCE688F.
A view of managing projects from an
organizational perspective will be presented.
The principle areas of discussion will be
strategic alignment, marshalling organizational
assets through an enterprise project office,
portfolio management, and program
management. Using a case study approach,
students will explore the importance of using
organizational strategies to align projects, how
to use an enterprise project office as a
governance process, and apply practices to
create portfolios and programs to leverage
organizational assets. Principle topics will
include establishing a governance process,
project selection techniques, project portfolio
392
methodology, and application of project
practices to program management.
ENCE 602 Project Procurement
Management (3 credits)
For PM majors, ENCE, ENPM and GCMP
majors only; or permission of department.
Fundamental concepts and techniques for
project acquisition and procurement are
presented. Students are introduced to the
PMBOK Guide six-step procurement process
and expected to develop an in-depth
understanding of project evaluation, planning,
financing, contracting, negotiation, and
procurement execution. It will also cover
emerging methods, principles, and practices in
infrastructure project procurement, including
Public -Private Partnerships, Carbon project
procurement, and Clean Development
Mechanism.
ENCE 603 Management Science
Applications in Project
Management (3 credits)
For majors only or permission of
department.
The fundamentals of management science
techniques in project management including:
linear and integer programming, multi-
objective optimization, simulation, decision
analysis, Analytic Hierarchy Process (AHP),
deterministic and stochastic dynamic
programming. Applications will be drawn
from the Critical Path Method (CPM),
resource management, and other areas of
Project Management.
ENCE 605 Evolving as a Project
leader (3 credits)
Prerequisite: ENCE665 (no exceptions).
Projects are now used by many organizations
for the implementation of strategic initiatives.
This means that project managers must be able
to do more than manage, organize, and
control. They must be able to lead the project
team and its stakeholders through change. This
course builds on the foundation created in
ENCE665. It explores: (1 leadership theory
and evolution; (2) the role of leadership in
project teams; (3) you as a leader; and (4) your
personal development as a project leader.
ENCE 607 Real Estate
Investment and Planning for the
Project Manager (3 credits)
Real estate investment and development is
fundamentally the acquisiton, financing,
construction, leasing, and disposition of land
and buildings. While many courses examine
the traditional elements of project
management, few courses prepare students for
the complex interaction of property
acquisition, financing, design, and
construction. To succeed and be valued by
owners, the project manager must recognize
the mechanics and perils of real estate
investment and communicate in the language
of development.
ENCE 610 Fundamentals of
Structural Analysis (3 credits)
Cartesian tensor notation. Linear forms of the
general equilibrium, compatability, and
constitutive equations. The calculus of
variations. The principles of virtual work and
complementary virtual work. Self-adjoint
problem formulations.
ENCE 611 Finite Element
Methods (3 credits)
Formerly ENCE661.
Basic principles and fundamental concepts of
the finite element method. Consideration of
geometric and material nonlinearities,
convergence, mesh gradation and
computational procedures in analysis.
Applications to plane stress and plane strain,
plates and shells, eigenvalue problems,
axisymmetric stress analysis, and other
problems in civil engineering.
ENCE 613 Structural Dynamics
(3 credits)
Formerly ENCE653.
Analysis of the dynamic response of structrues
and structural components subjected to impact
load, transient load, and ground excitations;
study of single degree -of- freedom and multi
degree -of- freedom systems in classical closed
form solution and approximate numerical
solution; solution in the frequency domain and
the use of finite element method.
ENCE 615 Structural Reliability
(3 credits)
Probability and statistics. Fundamentals of
uncertainty analysis. Fundamentals of
structural reliability. Reliability-based design.
Simulation and variance reduction techniques.
Fuzzy sets and applications.
ENCE 616 Plates and Shells (3
credits)
Prerequisite: ENCE 410 or equivalent.
Formerly ENCE652.
Medium thick plate theory, Von-Karman's
plate theory, orthotropic plates; approximate
methods; buckling; membrane theory of shells,
bending theory of shells and shell
deformations.
ENCE 620 Risk Analysis for
Engineering (3 credits)
Sources of hazards, definition of risk, system
analysis, functional modeling and analysis
techniques, probabilistic risk assessment
procedure, risk methods, risk acceptance,
assessment of failure likelihood, consequence
assessment, risk benefit assessment,
uncertainty surces and types, modeling
uncertainty, risk analysis and decision making
under uncertainty, collection of data, expert-
opinion elicitation, human-machine interface
and human factors engineering.
ENCE 621 Uncertainty Modeling
and Analysis (3 credits)
Prerequisite: ENCE302 or equivalent.
Definition of engineering systems, knowledge
levels using information science concepts as
applied to engineering systems, sources and
types of knowledge and ignorance, uncertainty
sources and types for engineering systems,
probability models, statistical models,
fuzziness, fuzzy sets, fuzzy logic, fuzzy
arithmetic, imprecise probabilities, evidence
methods, uncertainty measures, uncertainty
management, uncertainty reduction,
applications of these analytical methods to
engineering systems and in decision making.
ENCE 622 IT Project
Management Fundamentals (3
credits)
Prerequisite: permission of department. For
ENCE majors only.
Emphasis is on differences between PM
fundamentals and requirements for IT project
management - does not cover the basics.
Focuses on project success factors;
components of IT projects; relationship to
systems engineering techniques; applicability
of standards; traceabiltiy; risk management;
schedule management and controlling scope;
configuration management; testing techniques;
specification and prototyping; selecting and
using 3rd party software; and intellectual
property rights.
ENCE 623 Introduction to
Advanced Scheduling (3
credits)
Two hours of lecture and one hour of
laboratory per week. Prerequisite:
ENCE423 or ENCE662 and permission of
department. Also offered as ENCE 688S.
Credit will be granted for only one of the
following: ENCE 623 or ENCE 688S.
A Combination of lecture and hands-on use of
software to develop advanced knowledge and
skills necessary to master advanced scheduling
techniques for project management and control
will be used. No software purchase is
necessary.
ENCE 624 Managing Projects in
a Dynamic Environment (3
credits)
Prerequisite: permission of department.
This course examines the nine principles
simultaneous managers use interdependently
and presents a theory of project management
that is intellectually rigorous and consistent
with pragmatic knowledge.
ENCE 625 Project
Administration (3 credits)
The principals of project administration
procedures from the viewpoint of a resident
project manager or project engineer
specifically addressing their responsibilities in
the engineering, design, or construction
industries are examined. The course is suitable
for students, engineering and design
professionals, project managers, experienced
contract administrators, and owners interested
in the special administrative problems or
construction.
ENCE 626 Web-based Project
Management (3 credits)
Prerequisite: ENCE662.
The use of IT tools, in particular the Web, is
increasingly becoming the primary instrument
for conducting the day-to-day tasks of
engineering project management. Traditional
client-server based technologies and
applications can now be replaced by a web-
centric, collaborative, electronic workplace.
This course examines the use of Internet and
Intranet based project management in the
context of collaboration, decision making and
information exchange, and presents a
systematic understanding of the principle
issues in Web based tools- ease of use,
efficient decision making, and cost
effectiveness. The course will use project case
histories as part of a team project.
ENCE 627 Project Risk
Management (3 credits)
Not open to students who have completed
ENCE627 orENCE688Q.
Introduction to identifying, analyzing,
assessing, and managing risks inherent to
engineering projects. Includes: probability
modeling, choice and value theory, schedule
and cost risk, risk mitigation and transfer, and
contract considerations of project risk.
Examples are drawn from construction,
software development, systems integration,
and other large engineering projects; and cover
probability basics, subjective probability,
statistical data analysis, introduction to
decision theory, Monte Carlo simulation,
393
value of information, and risk-based decision
making.
ENCE 630 Environmental and
Water Resource Systems I (3
credits)
Application of statistical and systems
engineering techniques in the analysis of
information necessary for the design or
characterization of environmental or
hydrologic processes; emphasis on the
fundamental considerations that control the
design of information collection programs,
data interpretation, and the evolution of
simulation models used to support the
decision-making process.
ENCE 635 Geographic
Information Systems for
Watershed Analysis (3 credits)
Credit will be granted for only one of the
following: ENCE524 or ENCE688Z.
Formerly ENCE688Z.
Emphasis is on the use of GIS to support the
analysis and modeling tasks associated with
watershed planning and management. This
course familiarizes the student with
fundamentals of GIS data models, projections,
and coordinate systems. Students develop a set
of GIS- based alogrithms solving common
engineering problems in hydrology. Internet
data sources and GPS technology are also
covered.
ENCE 637 Biological Principles
of Environmental Engineering (3
credits)
An examination of biological principles
directly affecting man and his environment,
with particular emphasis on microbiological
interactions in environmental engineering
related to air, water and land systems;
microbiology and biochemistry of aerobic and
anaerobic treatment processes for aqueous
wastes.
ENCE 640 Advanced Soil
Mechanics (3 credits)
Prerequisite: ENCE 340 or equivalent.
Introduction to the use of elastic theory in
stress and displacement solutions to
geotechnical engineering (soil and rock
mechanics). The effect of soil moisture (at
rest) relative to effective stress principles,
capillary and frost. Exact and numeric
techniques for the analysis for soil seepage
under isotropic and anisotropic conditions.
Classical settlement (consolidation) and
compressiblility theories, including finite
difference solution for vertical and radial
drainage.
ENCE 641 Advanced
Foundations Systems (3
credits)
Prerequisite: ENCE 340 or equivalent.
Review of soil properties and subsurface
exploration, evaluation and design of shallow
foundations, including settlement and bearing
capacity of spread footings and mats.
Discussion of methods of soil improvement.
Analysis and design of deep foundations
including single pile, pile load testing, pile
group actions, and drilled shaft foundations for
both vertical and horizontal loads. Load and
resistance factor design concepts will be
presented.
ENCE 643 Theory of Soil
Strength (3 credits)
Prerequisites: ENCE 340 or equivalent and
permission of instructor.
Shear strength of cohesive and cohesionless
soils is analyzed using the critical state soil
mechanics theory of soil strength.
Conventional laboratory strength tests, Mohr-
Coulomb representation of soil strength, and
recommended design parameters.
ENCE 644 Advanced Pavement
and Civil Engineering Materials
(3 credits)
Prerequisite: ENCE 300. Credit will be
granted for only one of the following:
ENCE 644 or ENCE 688P. Formerly
ENCE688P.
Advanced course in Highway and Civil
Engineering Materials. Dynamic Material
Characterization. Elastic, Plastic and
Viscoelastic Behavior. Energy Analysis.
Physical and Mechanical Properties. NDT.
Performance: Creep, Fatigue, Durability,
other. Recent developments in Aggregate
Evaluation, Portland Cement Concrete, High
Performance Concrete, Conventional and
Modified Asphalt Binders and Mixtures,
Polymers & Composites, Geotextiles, Smart
and Self Healing Materials, Recycled and
Reclaimed Materials.
ENCE 645 Geotechnics of Waste
Disposal (3 credits)
Also offered as ENCE 489X. Credit will be
granted for only one of the following:
ENCE 489X, ENCE 645 or ENCE 688X.
Formerly ENCE688X.
Fundamental aspects of geotechnical
engineering that apply to problems of waste
containment and remediation, basic principles
of containment systems, compacted clay liners
and clay mineralogy, hydraulic conductivity of
compacted soils, methods of laboratory and
field hydraulic conductivity measurements,
design of waste containment systems, landfill
stability and settlement, geosynthetic liners,
waste compatibility, contaminant transport
through liners, leachate collection systems, gas
collection systems, covers and caps.
ENCE 647 Slope Stability and
Seepage (3 credits)
Prerequisite: ENCE 340. Also offered as
ENCE 489A. Credit will be granted for
only one of the following: ENCE 489A,
ENCE 647 or ENCE 688A. Formerly
ENCE688A.
Theoretical and practical aspects of seepage
effects, and groundwater flow, review of shear
strength principles, flow through porous
media, hydraulic conductivity, flow nets,
determination of water pressure, seepage
forces and quantity of seepage, laboratory and
field tests for shear strength, infinite slopes,
block analysis, method of slices, seismic
analysis of slopes, effective and total stress
analysis, computer program for slope stability
analysis, slope stability problems in waste
disposal, construction excavations, reinforced
embankments, embankments on soft ground.
ENCE 650 Process Dynamics in
Environmental Systems (3
credits)
Formerly ENCE636.
The fundamentals of heterogeneous equilibria,
rates of environmental reactions, and flow and
material transport or presented. Applications
of these principles will be presented to small
and large scale environmental problems
involving liquid, gas, and solid phases. Both
natural and engineered environmental systems
will be examined.
ENCE 651 Chemistry of Natural
Waters (3 credits)
Two hours of lecture and three hours of
laboratory per week. Credit will be granted
for only one of the following: ENCE 633 or
ENCE 651. Formerly ENCE633.
Application of principles from chemical
thermodynamics and kinetics to the study and
interpretation of the chemical composition of
natural waters is rationalized by considering
metal ion solubility controls, pH, carbonate
equilibria, adsorption reactions, redox
reactions and the kinetics of oxygenation
reactions which occur in natural water
environments.
ENCE 655 Environmental
Behavior of Organic Pollutants
(3 credits)
Prerequisite: ENCE651.
Introduction to the scientific data needed and
methods currently available to assess the
environmental risk of organic chemicals.
Applications of principles from chemical
thermodynamics will be used to study phase-
transfer processes of organic pollutants in the
environment (solid/water, solid/air, water/air).
Physical-chemical properties of organic
pollutants will be used to estimate partitioning.
ENCE 660 Mathematical
Methods in Engineering (3
credits)
Selected topics from differential calculus,
multiple and line integration, orthogonal
series, differential equations, numerical
methods, and complex variables.
ENCE 661 Project Cost
Accounting and Finance (3
credits)
This course reviews the fundamentals of
accounting; examines project cost accounting
principles, applications, and impact on
profitability; examines the principles of
activity based costing; covers the elements
involved in cash management; introduces the
framework for how projects are financed and
the potential impact financing has on the
projects; and a framework for evaluating PC
based systems and what resources are needed
for an effective project cost system.
ENCE 662 Introduction to
Project Management (3 credits)
Introduction to project management including:
overview and concepts of project management
(principles, body of knowledge, strategies);
planning successful projects (defining,
specifying, delivery options, scheduling,
budgeting); implementing (organizing the
team, work assignments, team building,
effective leadership); executing (performance
measurement, maintaining the schedule,
adjustments/mid-course corrections, record
keeping, status reporting, communications,
managing conflict, time management); and
closeout(performance measurement,
maintaining the schedule, adjustments/mid-
course corrections, record keeping, status
reporting, communications, managing conflict,
time management).
ENCE 663 Management of
Design and Construction
Organizations (3 credits)
Prerequisite: permission of department.
This course examines the management focus
of the design and/or construction company and
how corporate management is different from,
yet relates to, and impacts project
394
management. The company creates the
framework within which projects may
consistently achieve excellent performance or
they may struggle to complete behind
schedule, over budget, and not meet the
customer's requirements. What makes the
difference?
ENCE 664 Legal Aspects of
Engineering Design and
Construction (3 credits)
Prerequisite: permission of department.
Examines ways in which the legal system
affects the design and construction process.
Focuses on contract types and the relationships
between the parties in different delivery
systems. Covers basics of procurement
protocols along with negotiating techniques
and strategies. Topics include contract law, the
relationships between the parties, tort and
negligence law, and the statutory principles
affecting construction.
ENCE 665 Management of
Project Teams (3 credits)
Prerequisite: permission of department. For
Clark School of Engineering majors only.
Experience has shown that really excellent
project managers are not only technically
competent but that they have above average
skills in human relations and communications.
The course will prepare project managers to
optimize the utilization of their most important
resource: people. Relying primarily on a wide
range of research and experience in the Project
Team, this course will help guide project
managers in building the other skills needed to
be truly successful in the competitive Project
Team.
ENCE 666 Cost Engineering and
Control (3 credits)
Analytic techniques to estimate and control
project costs, including site investigation,
quantity takeoff, work analysis and bid
preparation. Systematic cost control as related
to job production and historical data.
ENCE 667 Project Performance
Measurement (3 credits)
Prerequisite: permission of department.
Examination of various techniques and models
used to measure the performance of projects.
Topics will include: Critical Path Method
(CPM), Program Evaluation Review
Technique (PERT), Gantt charts, project
crashing, resource management, capital
allocation, forecasting, hypothesis testing,
regression analysis, learning curve analysis,
goal programming, Monte Carlo simulation,
the Analytic Hierarchy Process (AHP), Pareto
optimality and tradeoff curves as well as
basics in linear programming and uncertainity
modeling.
ENCE 670 Highway Traffic
Characteristics and
Measurements (3 credits)
Prerequisite: ENCE 470 or permission of
instructor.
The study of the fundamental traits and
behavior patterns of road users and their
vehicles in traffic. The basic characteristics of
the pedestrian, the driver, the vehicle, traffic
volume and speed, stream flow and
intersection operation, parking, and accidents.
ENCE 672 Regional
Transportation Planning (3
credits)
Prerequisite: ENCE 471 or permission of
instructor.
Factors involved and the components of the
process for planning statewide and regional
transportation systems, encompassing all
modes. Transportation planning studies,
statewide traffic models, investment models,
programming and scheduling.
ENCE 673 Urban Transportation
(3 credits)
The contempory methodology of urban
transportation planning. The urban
transportation planning process,
interdependence between the urban
transportation system and the activity system,
urban travel demand models, evaluation of
urban transportation alternatives and their
implementation.
ENCE 674 Urban Transit
Planning and Rail
Transportation Engineering (3
credits)
Prerequisite: ENCE 471 or permission of
instructor.
Basic engineering components of conventional
and high speed railroads and of air cushion
and other high speed new technology. The
study of urban rail and bus transit. The
characteristics of the vehicle, the supporting
way, and the terminal requirements will be
evaluated with respect to system performance,
capacity, cost, and level of service.
ENCE 675 Airport Planning and
Design (3 credits)
Prerequisite: ENCE 471 or permission of
both department and instructor.
The planning and design of airports including
site selection, runway configuration, geometric
and structural design of the landing area, and
terminal facilities. Methods of financing
airports, estimates of aeronautical demand, air
traffic control, and aiiport lighting are also
studied.
ENCE 676 Highway Traffic Flow
Theory (3 credits)
Prerequisites: ENCE 461 and ENCE 462;
or permission of instructor.
An examination of physical and statistical
laws that are used to represent traffic flow
phenomena. Deterministic models including
heat flow, fluid flow, and energy-momentum
analogies, car following models, and
acceleration noise. Stochastic approaches
using independent and Markov processes,
Queuing models, and probability distributions.
ENCE 677 OR Models for
Transportation Systems
Analysis (3 credits)
Fundamental skills and concepts of the
quantitative techniques of operations research
including: mathematical modeling, linear
programming, integer programming, network
optimization (shortest paths, minimum
spanning trees, minimum cost network flows,
maximum flows), heuristics, and basics of
probabilistic modeling. Emphasis on the
application of these techniques to problems
arising in transportation.
ENCE 681 Freight
Transportation Analysis (3
credits)
Application of operations research and system
analysis methods to freight transportation
systems. Cost and output analysis, terminal
location, freight transportation demand
models, freight transportation network
equilibrium models and analytic models for
analyzing the operations of rail, motor carrier,
water carrier and air cargo systems.
ENCE 688 Advanced Topics in
Civil Engineering (1-3 credits)
Advanced topics selected by the faculty from
the current literature of civil engineering to
suit the needs and background of students.
May be taken for repeated credit when
identified by topic title.
ENCE 689 Seminar (1-16 credits)
ENCE 710 Steel Structures I (3
credits)
Formerly ENCE656.
Moment connections of beams and columns.
Wind bracing connections. Plate girders. Floor
systems for buildings. Strengthening of beams
and trusses. Corrosion control. Fatigue and
fracture.
ENCE 711 Steel Structures II (3
credits)
Formerly ENCE655.
Plastic analysis and design of beams, rigid
frames, eccentrically braced frames, and
plates. Design of light-gauge cold-formed
members.
ENCE 713 Concrete Structures I
(3 credits)
Formerly ENCE753.
The behavior and strength of reinforced
concrete members under combined loadings,
including the effects of creep, shrinkage and
temperature. Mechanisms of shear resistance
and design procedures for bond, shear and
diagonal tension. Elastic and ultimate strength
analysis and design of slabs. Columns in
multistory frames. Applications to reinforced
concrete structures.
ENCE 714 Concrete Structures II
(3 credits)
Formerly ENCE754.
Fundamental concepts of pre stressed concrete.
Analysis and design of flexural members
including composite and continuous beams
with emphasis on load balancing technique.
Ultimate strength design for shear. Design of
post tensioned flat slabs. Various applications
of prestressing including tension members,
compression members, circular prestressing,
frames and folded plates.
ENCE 715 Earthquake
Engineering (3 credits)
Prerequisite: permission of instructor.
Formerly ENCE755.
Review of SDOF and MDOF structural
dynamics; characteristics of earthquakes;
philosophies of seismic design; elastic and
inelastic response spectra; design for ductility;
principles of capacity design; design of
structural systems requiring special
performance criteria.
ENCE 716 Forensic Engineering
(3 credits)
Application of the art and science of
engineering in the jurisprudence system.
Includes the investigation of the physical
causes of accidents and other sources of claims
and litigation, preparation of engineering
reports, testimony at hearings and trials in
administrative or judicial proceedings, and the
rendition of advisory opinions to assist the
resolution of disputes affecting life and
property. Study of the process of failure
investigation from initial site visit, through
report preparation to adjudication. Emphasis
on lessons learned from failures.
395
ENCE 717 Bridge Structures (3
credits)
Prerequisites: ENCE 255, ENCE 355, and
differential equations. Recommended:
ENCE 455. Formerly ENCE751.
The design and rating of bridge structures in
accordance with the AASHTO WSD, LFD,
ALFD, and LRFD specifications.
Development of the basic strength and
performance requirements as defined within
AASHTO, area and various foreign codes.
Projects requiring the design, rating and
ultimate strength evaluations will be assigned
for all of the predominate construction types
including: simple and continuous span,
straight and horizontally curved, non-
composite and composite w and box section
superstructure elements.
ENCE 718 Advanced Structural
Systems (3 credits)
Formerly ENCE750.
Review of classical determinate and
indeterminate analysis technique; multistory
buildings; space structures; suspension bridges
and cables structures; arches; long span
bridges.
ENCE 721 Investment Theory for
Project Engineers (3 credits)
Credit will be granted for only one of the
following: ENCE 652 or ENCE 721.
Formerly ENCE652.
An introductory course covering investment
theory and its application to project evaluation
and selection. Selected topics include: basic
theory of interest and fixed income securities;
portfolio selection and modification; capital
asset pricing; asset price dynamics; derivative
securities; and project evaluation using real
options.
ENCE 722 Market, Spatial, and
Traffic Equilibrium Models in
Project Management (3 credits)
Credit will be granted for only one of the
following: ENCE 654, ENCE 688M or
ENCE 722. Formerly ENCE654.
Introduction to equilibrium models involving
economics and engineering. Topics include:
review of relevent optimization theory; the
nonlinear complementary problem (NCP) and
variational inequality problem formats to solve
equilibrium problems; review of relevant game
theory, equilibrium models, and algorithms.
ENCE 723 Project Decision
Making with Competing
Objectives (3 credits)
Introduction to theory and algorithms behind
optimization under competing objectives i.e.
multi-objective optimization. Explores
concepts of dominated solutions, efficient
solutions, and approaches to finding such
points.
ENCE 724 Nonlinear
Programming in Project
Management (3 credits)
Credit will be granted for only one of the
following: ENCE 656 or ENCE 724.
Formerly ENCE656.
Mathematically rigorous nonlinear
programming theory relevant to problems in
engineering and economics. Includes:
classification of optimization problems,
directional differentiability, existence and
uniqueness results, constrained and
unconstrained nonlinear programs, nonlinear
complementarity and variational inequity
formulations.
ENCE 725 Probabilistic
Optimization in Project
Management (3 credits)
Introduction to optimiztion under uncertainty.
Includes: chance-constrained programming,
reliability programming, value of information,
decomposition methods, nonlinear and linear
programming theory, and probability theory.
ENCE 730 Environmental and
Water Resource Systems II (3
credits)
Prerequisite: ENCE 630 or permission of
instructor.
Advanced topics in operational research.
Applications to complex environmental and
water resource systems. The use of systems
simulation and probabalistic modeling.
ENCE 740 Computational
Geomechanics (3 credits)
Recommended: Previous coursework on
finite element theory (e.g., ENCE61 1).
Credit will be granted for only one of the
following: ENCE688X or ENCE740.
Formerly ENCE688X.
Focus on the application of computational
mechanics, and in particular the finite element
method, on the solution of stress and flow
problems in geomechanics. Review of
theoretical formulation of the finite element
method, with particular emphasis on the
special features most useful in geomechanics.
Thorough treatment of the issues involved in
performing robust practical analyses of real-
world problems. Course term project enables
students to apply these techniques to a
geomechanics problem of their choosing.
ENCE 741 Earth Retaining
Structures (3 credits)
Introduction to types and uses of earth
retaining structures, and lateral earth pressure
concepts and theories. Analysis and design of
retaining walls and shoring structures and their
bracing systems. These include conventional
retaining walls, mechanically stabilized earth
walls, cantilever and anchored sheet piling,
cellular cofferdams, braced cuts, soil nailing,
and the design of tiebacks and anchors. Load
and resistance factor design concept will be
presented.
ENCE 742 Embankment Dam
Design (3 credits)
Credit will be granted for only one of the
following: ENCE 742 or ENCE 688K.
Formerly ENCE688K.
An overview of embankment dam
engineering, including: planning; design (basic
design requirements; typical cross-sections;
seepage control; embankment stability;
freeboard and riprap); construction
considerations; surveillance, safety and
maintenace; and special dams (small dams;
rockfill dams; mine waste; dams in cold
climates). Speakers from engineering practice
will be included in the lecture series.
ENCE 743 Soil Dynamics and
Earthquake Engineering (3
credits)
Credit will be granted for only one of the
following: ENCE 642 or ENCE 743.
Formerly ENCE642.
Review of theory of vibration and wave
propagation in elastic media. Field and
laboratory methods for determining dynamic
soil properties. Analysis and design of soil-
foundation systems subjected to machinery
generated vibrations and methods of
foundation isolation. Earthquake causes,
magnitude and intensity, seismic hazard
evaluation, NEHRP site classification, site
response analyses and ground motion
amplification, liquefaction and response of
earth structures.
ENCE 744 QA/QC and
Specification for Highway
Materials (3 credits)
Prerequisite: ENCE 300.
Factorial Experiments and Analysis. Materials
Variability Components: Inherent and Testing
Variability. Quality Control/Quality
Assurance: Analysis Methods, Assurance
Plans and Components. Specifications for
Asphalt and Concrete Materials: Method, End-
Result, Performance Based. Life Cycle
Analysis and Performance Modeling
Techniques. Use of Advanced Statistical
Analysis for Material Properties Monitoring
and Performance Predictions: ANOVA, Time
Series, Spatial Data Analysis. Advanced
Highway Materials including Polymer
Modified and High Performance Asphalt and
Concrete.
ENCE 745 Geoenvironmental
Site Remediation (3 credits)
Prerequisite: ENCE 340. Also offered as
ENCE 489R. Credit will be granted for
only one of the following: ENCE 489R,
ENCE 688R or ENCE 745. Formerly
ENCE688R.
Analysis of various techniques for remediation
of contaminated media, applicable regulations
and methods of field reconnaissance, invasive
and non-invasive methods of site
characterization, geoenvironmental
monitoring, vertical cut-off walls, caps, soil
vapor extraction systems, air sparging,
permeable reactive walls, electro -kinetic
remediation, waste stabilization and
solidification systems.
ENCE 747 Infrastructure and
Pavement Management Systems
(3 credits)
Credit will be granted for only one of the
following: ENCE 688D or ENCE 747.
Formerly ENCE688D.
Pavement and Infrastructure Management
Systems. System Engineering. Condition
Evaluation and Rating, Non Destructive
Methods. Performance Evaluation and
Modeling. Economic Analysis, Cost and
Benefits. Pavement Management
Systems:Overview, A Framework for System
Design, Project and Network PMS, Pavement
Condition and SHRP Surveys, Costs and
Benefits of Improved Levels of Pavement
Management. PMS Case Studies . Use of
Geographic Information Systems (GIS).
Systems Concepts Applied to Design.
Implementation of Maintenance Management
Systems. Bridge Management Systems:
Inspection, Rating, Benefits, e.t.c. Building
Management Systems: Critical Issues, Private
and Public Ownership, Life Cycle Cost.
Infrastructure Management Systems.
ENCE 752 Theory of Aqueous
Waste Treatment (3 credits)
Theory and practical design of treating
wastewater, hydraulics of plant, cost analysis.
Biological oxidation of organics and biological
nutrient removal are emphasized. Stabilization
and disposal of biosolids will be discussed.
396
ENCE 753 Unit Operations of
Environmental Engineering (3
credits)
Prerequisite: ENCE 651 or ENCE 653.
Credit will be granted for only one of the
following: ENCE 636 or ENCE 753.
Formerly ENCE636.
The fundamental theory of unit operations in
the physical, chemical, and biological
treatment of water is considered in detail.
Coagulation and flocculation, sedimentation,
filtration, disinfection, ion exchange,
adsoiption, gas transfer, and membrane
processes are among topics to be considered.
Pollution prevention and waste minimization
will be integrated into the course.
ENCE 755 Transformations of
Organic Compounds in the
Environment (3 credits)
Prerequisite: permission of instructor.
Focuses on reaction kinetics and mechanisms
of organic pollutants transformations. Kinetic
principles will be used to calculate or estimate
the pollutants' half-lives. Physical-chemical
properties of organic pollutants will be used to
estimate transformation mechanisms and rates.
Emphasis is on developing an understanding
of how physico-chemical and structural
properties relate with the transformations of
organic pollutants.
ENCE 756 Bioremediation (3
credits)
Prerequisite: permission of instructor.
Introduction to microbiological and
engineering fundamentals of bioremediation.
Coverage will emphasize current and
emerging technologies for major classes of
environmental contaminants and contaminated
site characteristics; relevant microbial ecology,
biochemistry and physiology; site data needed
to assess the feasibility of the bioremediation
option; design and operation of engineered
bioremediation systems, including reactor and
in situ approaches; monitoring methods for
evaluating the success of bioremediation
projects; technical evaluation of selected case
studies.
ENCE 757 Environmental
Engineering Laboratory (3
credits)
Five hours of laboratory per week.
Prerequisite: ENCE 653 or permission of
instructor.
Laboratory experiments to familiarize the
student with selected unit operations and
processes used in water and wastewater
treatment; to gain "hands on" experience in the
setup and operation of each experiment; to
monitor laboratory parameters; and to analyze
data and write a laboratory report.
ENCE 799 Master's Thesis
Research (1-6 credits)
ENCE 898 Pre-Candidacy
Research (1-8 credits)
ENCE 899 Doctoral Dissertation
Research (1-8 credits)
Engi
lineermg,
Chemical (ENCH)
ENCH 400 Chemical
Engineering Thermodynamics (3
credits)
Prerequisite: PHYS260 and 261 (Formerly:
PHYS262), ENCH250 and ENCH300.
Contemporary trends in chemical engineering
thermodynamics that bridge the gap between
fundamentals and applications.
Thermodynamic analysis of non-ideal and
structured systems; such as complex fluids,
strongly fluctuating and nanoscale systems,
dissipative systems, biosystems, and systems
under extreme conditions.
ENCH 422 Transport Processes
I (3 credits)
Three hours of lecture and one hour of
discussion/recitation per week.
Prerequisites: ENCH215 and ENCH250.
Pre- or corequisites: MATH241 and
MATH246.
Principles of fluid dynamics as applied to
model development and process design. Mass,
momentum and energy conservation. Statics
and surface tension. Equation of Continuity
and Navier-Stokes Equation with application
to laminar flow. Dimensional analysis.
Macroscopic balances, Bernoulli Equation and
friction factors with application to turbulent
flow.
ENCH 424 Transport Processes
II (3 credits)
Three hours of lecture and one hour of
discussion/recitation per week.
Prerequisites: ENCH300 and ENCH422.
Principles of mass and heat transfer as applied
to model development and process design.
Species continuity equation with application to
diffusion, and convection in laminar flow.
Macroscopic balances and mass transfer
coeffecients with application to turbulent flow.
Mircroscopic equation of energy with
application to heat conduction, and convection
in laminar flow. Macroscopic energy balance
and heat transfer coeffecients with application
to turbulent flow. Heat exchanger design.
ENCH 426 Transport Processes
III (3 credits)
Three hours of lecture and one hour of
discussion/recitation per week.
Prerequisites: ENCH300.
Separation by staged operations. Rate
dependent separation processes. Design
applications in distillation, gas absoiption,
liquid extraction, drying, adsoiption and ion
exchange.
ENCH 437 Chemical
Engineering Laboratory (3
credits)
Six hours of laboratory per week.
Prerequisites: ENCH424; ENCH426;
ENCH440; and ENCH442.
Application of chemical engineering process
and unit operation principles in small-scale
semi-commercial equipment. Data from
experimental observations are used to evaluate
performance and efficiency of operations.
Emphasis on correct presentation of results in
report form.
ENCH 440 Chemical
Engineering Kinetics (3 credits)
Three hours of lecture and one hour of
discussion/recitation per week.
Prerequisites: ENCH400; and ENCH422.
Fundamentals of chemical reaction kinetics
and their application to the design and
operation of chemical reactors. Reaction rate
theory, homogeneous reactions and catalysis
electrochemical reactions. Catalytic reactor
design.
ENCH 442 Chemical
Engineering Systems Analysis
(3 credits)
Three hours of lecture and one hour of
discussion/recitation per week.
Prerequisites: ENCH300; and ENCH422.
Corequisite: ENCH440.
Dynamic response applied to process systems.
Goals and modes of control, Laplace
transformations, analysis and synthesis of
simple control systems, closed loop response,
dynamic testing.
ENCH 444 Process Engineering
Economics and Design I (3
credits)
Prerequisites: ENCH424; ENCH426 and
ENCH440.
Principles of chemical engineering economics
and process design. Emphasis on equipment
types, equipment design principles, capital
cost estimation, operating costs, and
profitability.
ENCH 446 Process Engineering
Economics and Design II (3
credits)
Prerequisite: ENCH444.
Application of chemical engineering principles
for the design of chemical processing
equipment. Typical problems in the design of
chemical plants.
ENCH 453 Applied Mathematics
in Chemical Engineering (3
credits)
Prerequisites: MATH246; ENCH426 and
ENCH440.
Mathematical techniques applied to the
analysis and solution of chemical engineering
problems. Use of differentiation, integration,
differential equations, partial differential
equations and integral transforms. Application
of infinite series, numerical and statistical
methods.
ENCH 454 Chemical Process
Analysis and Optimization (3
credits)
Prerequisites: MATH246; ENCH426 and
ENCH440.
Applications of mathematical models to the
analysis and optimization of chemical
processes. Models based on transport,
chemical kinetics and other chemical
engineering principles will be employed.
Emphasis on evaluation of process
alternatives.
ENCH 455 Model Predictive
Control (3 credits)
One hour of lecture and six hours of
laboratory per week. Prerequisite:
ENCH422. Credit will be granted for only
one of the following: ENCH455 or
ENCH468Z. Formerly ENCH468Z.
Empirical model identification from process
data. Step and impulse response models.
Linearization of nonlinear first principles
models. Single variable Model Predictive
Control. Robustness with respect to modeling
error. MPC based tuning of PID controllers.
Feedforward control. Multi-input multi-output
processes. Multi-loop decentralized control.
Centralized multivariable Model Predictive
Control via on-line optimization.
ENCH 456 Plantwide Process
Control (3 credits)
Prerequisite: ENCH442. Credit will be
397
granted for only one of the following:
ENCH442 or ENCH468L. Formerly
ENCH468L.
An introduction to the problem of designing
plantwide control system architectures. Steady
state gain calculation, singular value
decomposition, relative gain array,
niederlinski index, cascade control, averaging
level control loop tuning, dynamic simulation,
model based control. The Tennessee Eastmen
challenge problem is used throughout the
course to illustrate the methods discussed.
ENCH 468 Research (1-3
credits)
Prerequisite: permission of both department
and instructor. Repeatable to 6 credits.
Investigation of a research project under the
direction of a faculty member. Comprehensive
reports are required.
ENCH 470 The Science and
Technology of Colloidal
Systems (3 credits)
Prerequisites: ENCH400; ENCH424;
ENCH426: and CHEM482. Credit will be
granted for only one of the following:
ENCH468C or ENCH470. Formerly
ENCH468C.
Introduction to colloidal systems. Preparation,
stability and coagulation kinetics of colloidal
suspensions. Introduction to DLVO theory,
electrokinetic phenomena, rheology of
dispersions, surface/interfacial tension, solute
absorption at gas-liquid, liquid-liquid, liquid-
solid and gas-solid interfaces and properties of
micelles and other micro structures.
ENCH 471 Particle Science and
Technology (3 credits)
Credit will be granted for only one of the
following: ENCH468I or ENCH471.
Formerly ENCH468I.
Theory and modeling techniques for particle
formation and particle size distribution
dynamics. Science and technology of
multiphase systems, powder and aerosol
technology. Industrial, environmental and
occupational applications: dry powder delivery
of drugs, aerosol generation methods,
nano particles, biowarfare agent detection, dry
powder mixing, particulate emissions. Design
particle synthesis and processing systems,
particle removal systems.
ENCH 472 Control of Air
Pollution (3 credits)
Credit will be granted for only one of the
following: ENCH468D or ENCH472.
Formerly ENCH468D.
Effects and sources of air pollutants,
legislation and regulatory trends; meteorology,
atmospheric dispersion models; distribution
functions, particle size distributions;
particulate control.
ENCH 475 Ethics in Science and
Engineering (3 credits)
Senior standing. Credit will be granted for
only one of the following: ENCH468E or
ENCH475. Formerly ENCH468E.
Ethical issues in science and engineering and
their resolutions. Scientific truth: proper data
analysis, proper data presentation, and record-
keeping. Human aspects: attribution,
confidentiality, conflict of interests, mentoring
and inclusion of underrepresented groups.
Societal aspects: funding priorities, moral
issues, responsibilities of engineers to clients,
ecological issues, and human and animal
subjects. Class meetings are organized around
discussions, case studies, and student reports.
ENCH 476 Statistics and
Experiment Design (3 credits)
Credit will be granted for only one of the
following: ENCH468G orENCH476.
Formerly ENCH468G.
Intelligent design of experiments and
statistical analysis of data. Probability,
probability distribution, error analysis; data
collection, sampling, graphing; variance,
significant tests. Cluster analysis and pattern
recognition. Factorial design, combinatorial
methods.
ENCH 482 Biochemical
Engineering (3 credits)
Prerequisite: ENCH440.
Introduction to biochemical and
microbiological applications to commercial
and engineering processes, including industrial
fermentation, enzymology, ultrafiltration, food
and pharmaceutical processing and resulting
waste treatment. Enzyme kinetics, cell growth,
energetics and mass transfer.
ENCH 483 Bioseparations (3
credits)
Credit will be granted for only one of the
following: ENCH483 or ENCH468A.
Formerly ENCH468A.
Engineering fundamentals of separations and
purification of biological molecules. Case
studies and examples illustrate principles and
practice of centrifugation, precipitation,
crystallization, filtration, membrane
separations, chromatography, and affinity
separation of recombinant proteins and other
biomolecules. Process scale-up and economics
of biotechnology products and processes.
ENCH 484 Environmental
Biochemical Engineering (3
credits)
Credit will be granted for only one of the
following: ENCH468B orENCH484.
Formerly ENCH468B.
Interdisciplinary solutions to complex
environmental contamination problems; basic
biological and biochemical engineering
principles as applied to bioremediation.
Transport of contaminants in various
environments, aerobic and anaerobic
bi ode gradation, ex situ and in situ
bioremediation reactor design, reaction
kinetics, process optimization, and modeling.
Current regulatory issues governing the use of
bioremediation processes.
ENCH 485 Biochemical
Engineering Laboratory (3
credits)
Six hours of laboratory per week.
Prerequisite: ENCH482.
Techniques of measuring pertinent parameters
in fermentation reactors, quantification of
production variables for primary and
secondary metabolites such as enzymes and
antibiotics, the insolubilization of enzymes for
reactors, and the demonstration of separation
techniques such as ultrafiltration and affinity
chromatography.
ENCH 490 Introduction to
Polymer Science (3 credits)
Prerequisites: ENCH424 and ENCH440.
Also offered as ENMA495. Credit will be
granted for only one of the following:
ENCH490 or ENMA495.
The elements of the chemistry, physics,
processing methods, and engineering
applications of polymers.
ENCH 495 Manufacturing with
Polymers (3 credits)
Prerequisite: ENES230. Credit will be
granted for only one of the following:
ENCH468M or ENCH495. Formerly
ENCH468M.
Introduction to issues associated with the use,
manufacturing and processing of polymers;
blending of materials, design and production
of a polymer formulation, characterization of
material properties. Teams work on an open-
ended design problem of producing and
characterizing a polymer formulation for
advanced materials use.
ENCH 496 Processing of
Polymer Materials (3 credits)
Prerequisite: ENCH424. Also offered as
ENMA496. Credit will be granted for only
one of the following: ENCH496 or
ENMA496.
A comprehensive analysis of the operations
carried out on polymeric materials to increase
their utility. Conversion operations such as
molding, extrusion, blending, film forming,
and calendaring. Development of engineering
skills required to practice in the high polymer
industry.
ENCH 497 Recycling of Waste
Material (3 credits)
Prerequisites: ENCH424 and ENCH426.
Credit will be granted for only one of the
following: ENCH468R or ENCH497.
Formerly ENCH468R.
Introduction of municipal and industrial waste
recycling technology. Unit operations and
governing mathematical models for predicting
equipment performance. Role of engineers in
the recycling industry.
ENCH 609 Graduate Seminar (1
credits)
ENCH 610 Chemical
Engineering Thermodynamics (3
credits)
Advanced application of the general
thermodynamic methods to chemical
engineering problems. First and second law
consequences; estimation and correlation of
thermodynamic properties; phase and
chemical reaction equilibria.
ENCH 620 Methods of
Engineering Analysis (3 credits)
Application of selected mathematical
techniques to the analysis and solution of
engineering problems; included are the
applications of matrices, vectors, tensors,
differential equations, integral transforms, and
probability methods to such problems as
unsteady heat transfer, transient phenomena in
mass transfer operations, stagewise processes,
chemical reactors, process control, and nuclear
reactor physics.
ENCH 630 Transport
Phenomena (3 credits)
Heat, mass and momentum transfer theory
from the viewpoint of the basic transport
equations. Steady and unsteady state; laminar
and turbulent flow; boundary layer theory,
mechanics of turbulent transport; with specific
application to complex chemical engineering
situations.
ENCH 640 Advanced Chemical
Reaction Kinetics (3 credits)
The theory and application of chemical
398
reaction kinetics to reactor design. Reaction
rate theory; homogeneous batch and flow
reactors; fundamentals of catalysis; design of
heterogeneous flow reactors.
ENCH 648 Special Problems in
Chemical Engineering (1-16
credits)
ENCH 735 Chemical Process
Dynamics and Control (3
credits)
Dynamic response of continuous and sampled-
data processes; feedback and feedforward
control; model uncertainty; Internal Model
Control structure; robustness with respect to
modeling error; control of multi- input multi-
output processes; decentralized control;
Relative Gain Array; Process Resiliency.
ENCH 736 Model Based Process
Control (3 credits)
Step and impulse response models; state space
models; model predictive control formulation;
on-line optimization; state feedback; Kalman
filter; disturbance estimation; constrained
processes; nonlinear process models.
ENCH 737 Chemical Process
Optimization (3 credits)
Techniques of modern optimization theory as
applied to chemical engineering problems.
Optimization of single and multivariable
systems with and without constraints.
Application of partial optimization techniques
to complex chemical engineering processes.
Spring semester.
ENCH 739 Modern Computing
Techniques in Process
Engineering (3 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits if content differs.
Presentation of recent developments in
computing techniques in the context of
chemical engineering problems. Symbolic
computation and artificial intelligence, neural
networks, data filtering and statistical
treatment of data.
ENCH 751 Turbulent and
Multiphase Transport
Phenomena (3 credits)
Prerequisites: ENCH 620 and ENCH 630.
Basic equations and statistical theories for
transport of heat, mass, and momentum in
turbulent fluids with applications to processing
equipment. Fundamental equations of
multiphase flow for dilute systems with
applications to particles, drops and bubbles.
Current approaches for analysis of
concentrated suspensions including
deterministic models and population balance
approaches.
ENCH 762 Advanced
Biochemical Engineering (3
credits)
Prerequisite: ENCH 482 or permission of
both department and instructor.
Advanced topics to include use of a digital
computer for mathematical modeling of the
dynamics of biological systems; separation
techniques for heat sensitive biologically
active materials; and transport phenomena in
biological systems.
ENCH 781 Polymer Reaction
Engineering (3 credits)
Prerequisite: ENCH 640 or permission of
instructor.
Advanced topics in polymerization kinetics,
reactor design and analysis; addition and step-
growth polymerization; homogeneous and
heterogeneous polymerization;
photopolymerization; reactor dynamics;
optimal operation and control of industrial
polymerization reactors.
ENCH 799 Master's Thesis
Research (1-6 credits)
ENCH 818 Advanced Topics in
Thermodynamics (3 credits)
Prerequisite: CHEM 604.
Second semester.
ENCH 828 Advanced Topics in
Chemical Reaction Systems (3
credits)
Prerequisite: ENCH 640.
First semester. Offered in alternate years.
ENCH 838 Advanced Topics in
Transfer Theory (3 credits)
Prerequisite: ENCH 720.
First semester. Offered in alternate years.
ENCH 858 Advanced Topics in
Process Control (3 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits if content differs.
Advanced topics in chemical process control —
robust control, model based process control,
process sensing, fault detection, expert
systems, neural networks, and integration of
design and control.
ENCH 859 Advanced Topics in
Biochemical Systems (3
credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits if content differs.
Presentation of techniques for characterizing
and manipulating non- linear biochemical
reaction networks. Methods are applied to
current biotechnological systems, some
include: recombinant bacteria; plant, insect
and mammalian cells; and transformed cell
lines.
ENCH 868 Advanced Topics of
Process Design (3 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits if content differs.
Advanced topics in chemical process analysis
and design; construction of process models,
steady-state and dynamic simulation, process
synthesis, heat-exchanger networks, separation
systems, chemical reaction systems, and
bioprocesses.
ENCH 869 Advanced Computer-
Aided Process Engineering (3
credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits if content differs.
Advanced topics and projects involving
modern computing techniques in chemical and
process engineering. Topics include but not
restricted to advanced process simulation;
parallel computation; symbolic, Boolean, and
algebraic computation in process modelling;
molecular -based modelling; connectionist
systems.
ENCH 898 Pre-Candidacy
Research (1-8 credits)
ENCH 899 Doctoral Dissertation
Research (1-8 credits)
Electrical &
Computer
Engineering (ENEE)
ENEE 407 Microwave-Circuits
Laboratory (2 credits)
One hour of lecture and three hours of
laboratory per week. Prerequisite: A grade
of C (2.0) or higher in (ENEE205 or
ENEE206) and ENEE381; and all required
200-level ENEE courses and permission of
department. For ENEE and ENCP majors
only.
Experiments concerned with circuits
constructed from microwave components
providing practical experience in the design,
construction and testing of such circuits.
Projects include microwave filters and S-
parameter design with applications of current
technology.
ENEE 408 Capstone Design
Project (3 credits)
Prerequisite: A grade of C (2.0) or higher in
all required 200-level ENEE courses and
permission of department. For ENEE and
ENCP majors only. Repeatable to 6 credits
if content differs.
Culmination of prior course work in electrical
and computer engineering. Utilization of
modern design tools and methodologies for the
design of components or systems under
realistic constraints, with particular emphasis
on teamwork and oral/written communication.
Areas in which projects are currently offered
include: microprocessor-based systems, digital
systems, VLSI design (both digital and mixed-
signal), and optical systems.
ENEE 416 Integrated Circuit
Fabrication Laboratory (3
credits)
One hour of lecture and three hours of
laboratory per week. Prerequisite: A grade
of C (2.0) or higher in ENEE303 and all
required 200-level ENEE courses and
permission of department. For ENEE and
ENCP majors only. Not open to students
who have completed ENEE419J. Formerly
ENEE419J.
Characterization of wafers and fabrication
steps. Oxide growth, lithography, dopant
diffusion, and metal deposition and patterning
will be discussed in the lectures and carried
out in the lab in fabricating NMOS transistor
circuits. The transistor characteristics will be
measured and related to the fabrication
parameters.
ENEE 417 Microelectronics
Design Laboratory (2 credits)
One hour of lecture and three hours of
laboratory per week. Prerequisite: A grade
of C (2.0) or higher in ENEE307 and
ENEE313, and all required 200-level
ENEE courses and permission of
department. For ENEE and ENCP majors
only.
Students design and build fairly sophisticated
circuits, mainly composed of discrete
transistors and integrated circuits. Many of the
projects are designed to require that students
synthesize from what they have learned in
many of the disciplines in electrical
engineering. Students learn they can actually
use their knowledge to build something very
practical, which may include a high-fidelity
399
amplifier, a radio, a memory cell, a
transmitter, etc.
ENEE 419 Topics in
Microelectronics (1-3 credits)
Prerequisite: permission of department and
completion of all lower-division technical
courses in the EE curriculum. Repeatable to
any number of credits if content differs. For
ENEE and ENCP majors only.
Selected topics of current importance in
microelectronics.
ENEE 420 Communication
Systems (3 credits)
Prerequisite: ENEE324 and completion of
all lower-division technical courses in the
EE curriculum.
Fourier series, Fourier transforms and linear
system analysis; random signals,
autocorrelation functions and power spectral
densities; analog communication systems:
amplitude modulation, single-sideband
modulation, frequency and phase modulation,
sampling theorem and pulse-amplitude
modulation; digital communication systems
pulse-code modulation, phase-shift keying,
differential phase shift keying, frequency shift
keying; performance of analog and digital
communication systems in the presence of
noise.
ENEE 425 Digital Signal
Processing (3 credits)
Prerequisite: ENEE322 and completion of
all lower-division technical courses in the
EE curriculum.
Sampling as a modulation process; aliasing;
the sampling theorem; the Z-transform and
discrete-time system analysis; direct and
computer-aided design of recursive and
nonrecursive digital filters; the Discrete
Fourier Transform (DFT) and Fast Fourier
Transform (FFT); digital filtering using the
FFT; analog-to-digital and digital-to analog
conversion; effects of quantization and finite-
word-length arithmetic.
ENEE 426 Communication
Networks (3 credits)
Prerequisite: ENEE324 and completion of
all lower-division technical courses in the
EE curriculum. Restricted to students with
a 09090 major code.
The main design issues associated with
computer networks, satellite systems, radio
nets, and general communication networks.
Application of analytical tools of queuing
theory to design problems in such networks.
Review of proposed architectures and
protocols.
ENEE 428 Communications
Design Laboratory (2 credits)
One hour of lecture and three hours of
laboratory per week. Prerequisite:
ENEE324 and completion of all lower-
division technical courses in the EE
curriculum. Corequisite: ENEE420 or
ENEE425. For ENEE majors only.
EE capstone design course. Exploring the
signal processing and communication systems
theoretical concepts presented in ENEE 420
Communication Systems and ENEE 425
Digital Signal Processing by implementing
them on actual DSP based hardware in real
time.
ENEE 429 Topics in
Communications (1-3 credits)
Prerequisite: permission of department and
completion of all lower-division technical
courses in the EE curriculum. Repeatable to
any number of credits if content differs. For
ENEE and ENCP majors only.
Selected topics of current importance in
communications.
ENEE 434 Introduction to Neural
Networks and Signals (3
credits)
Prerequisite: A grade of C (2.0) or higher in
ENEE205 and all required 200-level ENEE
courses and permission of department. For
ENEE and ENCP majors only.
Introduction to the generation and processing
of bioelectric signals including structure and
function of the neuron, membrane theory,
generation and propagation of nerve impulses,
synaptic mechanisms, transduction and neural
coding of sensory events, central nervous
system processing of sensory information and
correlated electrical signals, control of effector
organs, muscle contraction and mechanics, and
models of neurons and neural networks.
ENEE 435 Introduction to
Electrical Processes, Structure
and Computing Models of the
Brain (3 credits)
Prerequisite: A grade of C (2.0) or higher in
ENEE205 and all required 200-level ENEE
courses and permission of department. For
ENEE and ENCP majors only.
Concepts, theoretical and experimental
probing methods and models for
understanding the human brain structures and
functions from an engineering viewpoint.
Bioelectric phenomena of cells and electrical
circuit functional models. Neurons as signal
generators, decision elements, and information
transmission and processing devices. Basic
neural circuits and models. Experimental
techniques, signal recording and analysis.
Brain architecture-communication, control and
information processing structures and
functions. Memory, associations learning and
higher brain functions. Computer simulations
and computational models. Overview of brain-
inspired intelligent machine approaches and
systems.
ENEE 439 Topics in Signal
Processing (1-3 credits)
Prerequisite: permission of department and
completion of all lower division technical
courses in the EE curriculum. Repeatable to
any number of credits if content differs. For
ENEE and ENCP majors only.
Selected topics of current importance in signal
processing.
ENEE 440 Microprocessors (3
credits)
Prerequisite: ENEE350 and completion of
all lower-division technical courses in the
EE curriculum. For ENEE and ENCP
majors only.
Microprocessor architectures, instruction sets,
and applications. Bus structures, memory, I/O
interfacing. Assembly language programming,
LSI device configuration, and the embedding
of microprocessors in systems.
ENEE 445 Computer Laboratory
(2 credits)
One hour of lecture and three hours of
laboratory per week. Prerequisite: A grade
of C (2.0) or higher in (ENEE205 or
ENEE206) and ENEE350, and all other
200-level ENEE courses and permission of
department. For ENEE and ENCP majors
only.
This laboratory course focuses on the
hardware/software interface in computer
systems. Hand-on experiments are used to
teach design, construction, analysis, and
measurement of both hardware and software
for embedded systems. Projects emphasize
using microcontrollers for control, sensing,
and communication through various I/O
devices.
ENEE 446 Digital Computer
Design (3 credits)
Prerequisite: ENEE350 and completion of
all lower-division technical courses in the
EE curriculum.
Hardware design of digital computers.
Arithmetic and logic units, adders, multipliers
and dividers. Floating-point arithmetic units.
Bus and register structures. Control units, both
hardwired and microprogrammed. Index
registers, stacks, and other addressing
schemes. Interrupts, DMA and interfacing.
ENEE 447 Operating Systems (3
credits)
Prerequisites: ENEE350, experience in C
or C++, and familiarity with UNIX, and
completion of all lower-division technical
courses in the EE curriculum.. For ENEE
and ENCP majors only. Not open to
students who have completed ENEE459S.
Formerly ENEE459S.
The goal of this course is to present the theory,
design, implementation and analysis of
computer operating systems. Through
classroom lectures, homework, and projects,
students learn the fundamentals of
concurrency, and process management,
interprocess communication and
synchronization, job scheduling algorithms,
memory management, input/output devices,
file systems, and protection and security in
operating systems. Optional topics may
include communications protocols, computer
security, and real-time operating systems.
ENEE 459 Topics in Computer
Engineering (1-3 credits)
Prerequisite: permission of department and
completion of all lower-division technical
courses in the EE curriculum. Repeatable to
any number of credits if content differs. For
09090 and 09091 majors only.
Selected topics of current importance in
computer engineering.
ENEE 460 Control Systems (3
credits)
Prerequisite: ENEE322 and completion of
all lower-division technical courses in the
EE curriculum. For ENEE majors only.
Mathematical models for control system
components. Transform and time domain
methods for linear control systems.
Introductory stability theory. Root locus, bode
diagrams and Nyquist plots. Design
specifications in the time and frequency
domains. Compensation design in the time and
frequency domain. Introduction to sampled
data systems.
ENEE 461 Control Systems
Laboratory (3 credits)
Two hours of lecture and three hours of
laboratory per week. Prerequisite: A grade
of C (2.0) or higher in (ENEE205 or
ENEE206) and ENEE322 and all required
200-level ENEE courses and permission of
400
department. For ENEE and ENCP majors
only. Credit will be granted for only one of
the following: ENEE461, ENME461, or
ENME489N.
Students will design, implement, and test
controllers for a variety of systems. This will
enhance their understanding of feedback
control and familiarize them with the
characteristics and limitations of real control
devices. They will also complete a small
project. This will entail writing a proposal,
purchasing parts for their controller, building
the system, testing it, and writing a final report
describing what they have done.
ENEE 463 Digital Control
Systems (3 credits)
Prerequisites: ENEE322 and completion of
lower-division technical courses in the EE
curriculum. For ENEE and ENCP majors
only. Not open to students who have
completed ENEE469E. Formerly
ENEE469E.
Introduction to techniques for the analysis and
design of linear control systems and
implementation of control systems using
digital technology. Topics include
linearization, solution of linear equations, z-
transforms and Laplace transforms, design of
linear controllers, optimal control, and digital
implementation of control designs. Students
will use MATLAB for the solution of
problems and the design of control systems.
ENEE 469 Topics in Controls (1-
3 credits)
Prerequisites: permission of department
and completion of all lower-division
technical courses in the EE curriculum.
Repeatable to any number of credits if
content differs. For ENEE and ENCP
majors only.
Selected topics of current importance in
controls.
ENEE 473 Electrical Machines
Laboratory (2 credits)
One hour of lecture and three hours of
laboratory per week. Prerequisite: A grade
of C (2.0) or higher in (ENEE205 or
ENEE206) and all required 200-level
ENEE courses and permission of
department. For ENEE and ENCP majors
only.
Experiments involving single and three phase
transformers, induction machines,
synchronous machines and D.C. machines.
ENEE 474 Power Systems (3
credits)
Prerequisite: ENEE322 and completion of
all lower-division technical in the EE
curriculum.
Interconnected power systems, transmission
lines, load flow studies, unit commitment and
economic dispatch. Three phase networks,
machine models. Symmetrical components,
fault analysis and unbalanced operation.
Power system transients, stability and
numerical methods in power system analysis.
ENEE 475 Power Electronics (3
credits)
Prerequisite: A grade of C (2.0) or higher in
ENEE303 and all required 200-level ENEE
courses and permission of department. For
ENEE and ENCP majors only.
This course is suitable for undergraduate and
graduate students who want to learn the basic
principles of power electronics and its
applications. Special emphasis is placed on
interdisciplinary nature of power electronics.
Strong and intimate connections between
power electronics and circuit theory, electronic
circuits, semiconductor devices, electric
power, magnetic, motor drives and control are
stressed.
ENEE 480 Fundamentals of
Solid State Electronics (3
credits)
Prerequisite: A grade of C (2.0) or higher in
ENEE303 and all required 200-level ENEE
courses and permission of department. For
ENEE and ENCP majors only.
Crystal structure and materials preparation;
earner transport; elementary quantum
mechanics applied to solids; band structure of
metals, insulators, and semiconductors; field
effect transistors; PN junctions; bipolar
transistors; fabrication of devices.
ENEE 482 Design of Active and
Passive Microwave Devices (3
credits)
Prerequisite: ENEE381 and completion of
all lower-division technical courses in the
EE curriculum.
Design and operation of passive and active
microwave devices. The passive components
include waveguides, resonators, and antennas.
The active devices include klystrons,
magnetrons, gyrotrons, and free electron
lasers.
ENEE 486 Optoelectronics Lab
(2 credits)
One hour of lecture and three hours of
laboratory per week. Prerequisite: A grade
of C (2.0) or higher in (ENEE205 or
ENEE206) and PHYS270/271 and all
required 200-level ENEE courses and
permission of department. For ENEE and
ENCP majors only.
Hands-on experience in performing
measurements in optics and electro -op tics.
Basics of optics, light detectors, Fourier
optics, gratings and spectrometers, pulsed dye
lasers, fiber optics, electro-optics, and acousto-
optics.
ENEE 488 Independent Study in
Electrical and Computer
Engineering (1-3 credits)
Prerequisite: completion of all lower-
division EE or CP tech electives with a
grade of C or higher and permission of
department. A total of 5 credits combined
of ENEE488 and ENEE499 can count
towards a degree in electrical and computer
engineering. For ENEE and ENCP majors
only. Repeatable to 9 credits if content
differs.
The purpose is to provide students with an
opportunity for independent study projects on
advanced electrical and computer engineering
topics. These projects typically involve
academic investigations of technical themes
that are not addressed in the established
elective and special topics courses taught by
the department on a regular basis. Study plans
are tailored to students educational goals but
are approved and supervised by faculty.
ENEE 489 Topics in
Electrophysics (1-3 credits)
Prerequisites: permission of department
and completion of all lower-division
technical courses in the EE curriculum.
Repeatable to any number of credits if
content differs. For ENEE and ENCP
majors only.
Selected topics of current importance in
electrophysics.
ENEE 490 Physical Principles of
Wireless Communications (3
credits)
Prerequisite: ENEE381 Restricted to ENEE
and ENCP students. Not open to students
who have completed ENEE498B. Credit
will be granted for only one of the
following: ENEE490 or ENEE498B.
Formerly ENEE498B.
ENEE 496 Lasers and Electro-
optic Devices (3 credits)
Prerequisite: Completion of all lower-
division technical courses in the EE
curriculum. Corequisite: ENEE381. For
ENEE and ENCP majors only.
Modern physical optics: Gaussian beams,
optical resonators, optical waveguides; theory
of laser oscillation, rate equations; common
laser systems. Selected modern optoelectronic
devices like detectors and modulators. Role of
lasers and optoelectronics in modern
technology.
ENEE 498 Topics in Electrical
Engineering (1-3 credits)
Prerequisites: permission of department
and completion of all lower-division
technical courses in the EE curriculum.
Repeatable to any number of credits if
content differs. For ENEE majors only.
Formerly ENEE488.
Selected topics of current importance in
electrical engineering.
ENEE 499 Senior Projects in
Electrical and Computer
Engineering (1-5 credits)
Prerequisites: permission of instructor and
department; and completion of all lower-
division technical courses in the EE
curriculum. A total of 5 credits combined
of ENEE448 and ENEE499 can count
toward a degree in electrical or computer
engineering. For ENEE majors only.
Repeatable to 9 credits if content differs.
Formerly ENEE4 18.
The purpose is to provide students with an
opportunity to engage in independent research
projects on advanced electrical and computer
engineering topics. Projects are selected by
students and supervised by faculty and other
qualified mentors. While students may be
required to acquire new skills or information
in the course of completing a 499 project, the
focus is to conduct an independent
investigation of a technical theme by the
student. The project may be used to satisfy the
advanced lab requirement if it is approved as a
primarily - experimental research project. In
that case, the student will enroll in
ENEE499L.
ENEE 600 Solid State
Electronics (3 credits)
Recommended: ENEE 480; background in
elementary quantum mechanics. Credit will
be granted for only one of the following:
ENEE 600 or ENEE 793. Formerly
ENEE793.
Properties of crystals; energy bands: electron
transport theory; conductivity and hall effect;
statistical distributions; fermi level: impurities;
non-equilibrium earner distributions; normal
401
modes of lattice vibration and thermal
properties of crystals; tunneling phenomena;
surface properties.
ENEE 601 Semiconductor
Devices and Technology (3
credits)
Recommended: ENEE 600 (formerly:
ENEE 793), ENEE 480 or equivalent.
Credit will be granted for only one of the
following: ENEE 601 or ENEE 697.
Formerly ENEE697.
The principles, structures and characteristics
of semiconductor devices. Technology and
fabrication of semiconductor devices.
ENEE 605 Design and
Fabrication of Micro-Electro-
Mechanical Systems (MEMS) (3
credits)
Prerequisite: ENEE 312 or equivalent.
Credit will be granted for only one of the
following: ENEE 605 or ENEE 71 9R.
Formerly ENEE719R.
The goals are to explore the world of Micro-
Electro-Mechanical Systems (MEMS) by
understanding its design and fabrication
aspects.
ENEE 610 Electrical Network
Theory (3 credits)
Prerequisite: undergraduate circuit theory
or permission of instructor.
Matrix algebra, network elements, ports,
passivity and activity, geometrical and
analytical descriptions of networks, state
variable characterizations, scattering matrices,
signal flow graphs, sensitivity.
ENEE 611 Integrated Circuit
Design and Analysis (3 credits)
Recommended: ENEE 610. Credit will be
granted for only one of the following:
ENEE 61 1 or ENEE 696. Formerly
ENEE696.
Active and passive elements used in
semiconductor structures. Design application
of linear and digital integrated circuits.
ENEE 614 Radio Frequency
VLSI Circuit Design (3 credits)
Recommended: ENEE 611; ENEE 408D or
equivalent.
This course will give students the knowledge
required to analyze, design and lay-out
discrete and integrated circuits used in modern
radio frequency communications. The course
will focus on advanced amplifier concepts,
frequency conversion, tuning, and low-noise
techniques. Implementation of AM, FM and
digital modulation techniques will be covered.
Emphasis will be given to CMOS technology
as applied to analog VLSI. Advanced
applications of SPICE and VLSI design layout
tools will be covered.
ENEE 620 Random Processes in
Communication and Control (3
credits)
Prerequisite: ENEE 324 or equivalent.
Introduction to random processes:
characterization, classification, representation;
Gaussian and other examples. Linear
operations on random processes, stationary
processes: covariance function and spectral
density. Linear least square waveform
estimating Wiener-Kolmogroff filtering,
Kalman-Bucy recursive filtering: function
space characterization, non-linear operations
on random processes.
ENEE 621 Estimation and
Detection Theory (3 credits)
Prerequisite: ENEE 620 or equivalent. Also
offered as MAPL 644.
Estimation of unknown parameters, Cramer-
Rao lower bound; optimum (map)
demodulation; filtering, amplitude and angle
modulation, comparison with conventional
systems; statistical decision theory Bayes,
minimax, Neyman/Pearson, Criteria-68 simple
and composite hypotheses; application to
coherent and incoherent signal detection; M-
ary hypotheses; application to uncoded and
coded digital communication systems.
ENEE 623 Digital
Communications (3 credits)
Prerequisites: ENEE 620 and ENEE 420 or
equivalents, or permission of instructor.
Review of sampling and quantization,
functional characterization of digital signals
and transmission facilities, band-limited
signals and systems. Digital
modulation/demodulation techniques, error
probability, intersymbol interference and its
effects, adaptive equalization. Signaling with
coded waveforms, fading and satellite
channels, multiple access problems and
protocols. Introduction to spread-spectrum
Communications.
ENEE 625 Multi-user
Communication (3 credits)
Prerequisite: ENEE 620.
Basic queueing models. Store-and forward
communications networks; switching modes;
delay-throughput measures; capacity
assignment; routing; topological design;
computational aspects; flow control; error
control; protocols; specification and
validation; local networks; satellite and packet
radio systems; multiple access schemes;
stability and performance; multi-user
information theory; and large scale system
theory.
ENEE 626 Error Correcting
Codes (3 credits)
Prerequisite: ENEE 420 or equivalent.
Credit will be granted for only one of the
following: ENEE 626 or ENEE 722.
Formerly ENEE722.
Introduction to linear codes; bounds on the
error correction capabilities of codes;
convolutional codes with threshold, sequential
and viterbi decoding; cyclic random error
correcting codes; P-N sequences; cyclic and
convolutional burst error correcting codes.
ENEE 627 Information Theory (3
credits)
Three hours of discussion/recitation per
week. Prerequisite: ENEE 620. Credit will
be granted for only one of the following:
ENEE 627 or ENEE 721 . Formerly
ENEE721.
Information measures and their properties;
entropy, relative entropy and mutual
information. Information source models.
Lossless data compression: the Kraft
inequality, Shannon-Fano and Huffman codes.
Typical sequences, asymptotic equipartition
property, lossy source coding. Discrete
memoryless channels: capacity, channel
coding theorem. The additive Gaussian
channel. Source coding under a fidelity
constraint: rate distortion function and rate
distortion theorem.
ENEE 630 Advanced Digital
Signal Processing (3 credits)
Three hours of discussion/recitation per
week. Prerequisite: ENEE 425.
Corequisite: ENEE 620. Credit will be
granted for only one of the following:
ENEE 624 or ENEE 630. Formerly
ENEE624.
This is the first-year graduate course in signal
processing. The objective is to establish
fundamental concepts of signal processing on
multirate processing, parametric modeling,
linear prediction theory, modern spectral
estimation, and high-resolution techniques.
ENEE 631 Digital Image and
Video Processing (3 credits)
Prerequisite: ENEE620 and ENEE630 or
equivalent.
Foundations of digital image and video
processing. Topics covered: 2-D systems and
transforms; image acquisition and perception;
multi-dimensional sampling; quantization;
linear and non-linear techniques for image
enhancement and restoration; basics on image
analysis; lossless and lossy image
compression; motion estimation and
compensation; still image and video coding
standards; applications of image and video
processing.
ENEE 632 Speech and Audio
Processing (3 credits)
Three hours of discussion/recitation per
week. Prerequisite: ENEE 620 and ENEE
630. Credit will be granted for only one of
the following: ENEE739A or ENEE 632.
Formerly ENEE739A.
The objective is to apply digital signal
processing techniques to speech and music
signals. Topics covered include acoustic
theory of speech production leading to the
source-filter model; acoustic and digital vocal-
tract models of speech production; speech
analysis-synthesis based on the short-time
Fourier transform, linear prediction, and
homomoiphic representations; extensions to
other multiresolution analysis; time-domain
models for speech processing; auditory
perception and speech perception; waveform
and model-based speech coding using scalar
and vector quantization; time-scale
modification; pitch and formant estimation;
application of techniques to music analysis-
synthesis.
ENEE 633 Statistical Pattern
Recognition (3 credits)
Prerequisite: MATH461 or equivalent or
permission of instructor. Corequisite:
ENEE620. Credit will be granted for only
one of the following: ENEE633 or
ENEE739Q. Formerly ENEE739Q.
The goal is to introduce mathematical pattern
analysis and recognition. Emphasis is given to
parametric and non-parametric statistical
pattern recognition methods and clustering
with applications to speech, image and video
recognition.
ENEE 634 Space-Time Signal
Process (3 credits)
Prerequisite: ENEE 620 and ENEE 630.
Credit will be granted for only one of the
following: ENEE 634 or ENEE 724.
Formerly ENEE724.
Space-time processing aspects of signal
processing with applications to wireless
communications are considered, including fast
algorithms, numerical computation, adaptive
beamforming, direction of arrivals estimation,
array processing, adaptive algorithms (least
402
means square algorithms and recursive least
means square algorithms), channel
equalization, blind equalization and
identification, and space-time coding,
modulation, and MIMO communications and
signal processing.
ENEE 640 VLSI Architecture (3
credits)
Prerequisites: ENEE 446 or equivalent; and
ENEE 488Z (Computer- Aided Digital
System Design Lab) or equivalent; or
permission of instructor.
Review of MOS transistors: fabrication,
layout, characterization; CMOS circuit and
logic design: circuit and logic simulation, fully
complementary CMOS logic, pseudo-nMOS
logic, dynamic CMOS logic, pass-transistor
logic, clocking strategies; sub system design:
ALUs, multipliers, memories, PLAs;
architecture design: datapath, floorplanning,
iterative cellular arrays, systolic arrays; VLSI
algorithms; chip design and test: full custom
design of chips, possible chip fabrication by
MOSIS and subsequent chip testing.
ENEE 641 Mathematical
Foundations for Computer
Engineering (3 credits)
Credit will be granted for only one of the
following: ENEE 641 or ENEE 759F.
Formerly ENEE759F.
Mathematical modeling, design, analysis and
proof techniques related to computer
engineering. Probability, logic, combinatorics,
set theory, and graph theory, as they pertain to
the design and performance of computer
engineering systems. Techniques for the
design and analysis of efficient computational
methods from graph theory and networks.
Understanding of the limits on the efficiency
of such computational methods. Translation
from mathematical theory to actual
programming. The course emphasizes
mathematical rigor.
ENEE 644 Computer-Aided
Design of Digital Systems (3
credits)
Prerequisite: ENEE 449.
Design methodologies for digital systems
using a modern hardware description
language. Algorithmic, architectural and
implementation aspects of arithmetic
processing elements. Design of Complex
Instruction Set (CISC), Reduced Instruction
Set (RISC), and floating point processors.
Synthesis, simulation and testing of processors
with computer-aided design tools. Students in
some sections may, on permission, fabricate
VLSI chips via MOSIS.
ENEE 646 Digital Computer
Design (3 credits)
Prerequisite: ENEE 446 or equivalent
knowledge of basic computer design, as
well as experience in assembly language
programming for at least one instruction set
architecture and basic probability theory.
Concepts and techniques for design of
computer systems with improved performance.
Advanced I/O systems, memory organization,
pipeland and parallel processors, bus
bandwidth, process or/ memory
interconnections, cache memory, virtual
memory, multiprocessors, performance
evaluation.
ENEE 647 Design of Distributed
Computer Systems (3 credits)
Prerequisite: ENEE 488S (Operating
Systems) or equivalent.
Communication protocols, models of
interprocess communication and
synchronization in distributed operating
systems, interprocess synchronization and
communication primitives; remote procedure
call protocols; electronic mail and store-and-
forward communication; deadlock handling in
distributed systems; processes and transactions
in distributed systems; client servers models of
computation; distributed shared memory;
distributed file systems; recovery and fault-
tolerance; protection and communication
security.
ENEE 648 Advanced Topics in
Electrical Engineering (3
credits)
Every semester courses intended for high
degree of specialization are offered by visiting
or regular electrical engineering faculty
members in two or more of the areas listed in
488. The student should check with the
electrical engineering office of graduate
studies for a list and the description of the
topics offered currently.
ENEE 660 System Theory (3
credits)
Prerequisite: ENEE460 or equivalent;
MATH463 or equivalent; or permission of
instructor. Also offered as MAPL460.
Credit will be granted for only one of the
following: ENEE660, ENEE663, or
MAPL640. Formerly ENEE663.
General systems models. State variables and
state space. Linearity and its implications.
Controllability and observability. State space
structure and representation. Realization
theory and algorithmic solutions.
Parameterizations of linear systems; canonical
forms. Basic results from stability theory.
Stabiliz ability. Fine structure of linear
multivariable systems; minimal indices and
polynomial matrices. Interplay between
frequency domain and state space.
ENEE 661 Nonlinear Control
Systems (3 credits)
Prerequisite: ENEE660; MATH410 or
MATH41 1 or equivalent; or permission of
instructor.
State space methods of stability analysis
including second order systems and the phase
plane, linearization and stability in the small,
stability in the large and Lyapunov's second
method. Frequency domain methods including
the describing function. Popov's method and
functional analytic methods. Introduction to
Volterra series representations of nonlinear
systems. Applications to conrol system design.
ENEE 664 Optimal Control (3
credits)
Prerequisite: MATH410 and ENEE660 or
equivalent, or permission of instructor.
Corequisite: MATH411 or permission of
instructor.
General optimization and control problems.
Conditions of optimality for unconstrained and
constrained optimization problems; sensitivity;
duality. Introduction to linear and nonlinear
programming methods. Dynamic optimization.
Discrete time maximum principle and
applications. Pontryagin maximum principle
in continuous time. Dynamic programming.
Feedback realization of optimal control.
ENEE 680 Electromagnetic
Theory I (3 credits)
Prerequisite: ENEE 381 or equivalent.
Theoretical analysis and engineering
applications of Maxwell's equations. Boundary
value problems of electrostatics and
magnetostatics.
ENEE 681 Electromagnetic
Theory II (3 credits)
Prerequisite: ENEE 381 or equivalent.
Continuation of ENEE 680. Theoretical
analysis and engineering applications of
Maxwell's equations. The homogeneous wave
equation. Plane wave propagation. The
interaction of plane waves and material media.
Retarded potentials. The Hertz potential.
Simple radiating systems. Relativisitic
covariance of Maxwell's equations.
ENEE 686 Charged Particle
Dynamics, Electron and Ion
Beams (3 credits)
Prerequisite: permission of instructor.
General principles of s ingle -particle dynamics;
mapping of the electric and magnetic fields;
equation of motion and methods of solution;
production and control of charge particle
beams; electron optics; Liouville's theorem;
space charge effects in high current beams;
design principles of special electron and ion
beam devices.
ENEE 690 Quantum and Wave
Phenomena with Electrical
Application (3 credits)
Prerequisites: ENEE 381 and ENEE 382 or
equivalent.
Introduction of quantum and wave phenomena
from electrical engineering point of view.
Topics included: general principles of
quantum mechanics, operator algebra, the
microwave resonant cavity and the analagous
potential well problem, harmonic oscillator,
hydrogenic atom. Perturbation method applied
to the transmission line and potential well
problems. Periodically loaded transmission
line and Kronig-Penny model of band theory.
ENEE 691 Optical
Communication Systems (3
credits)
Optical components and systems. Measures of
performance of optical communication
systems. Topics include: single and multi-
mode optical fibers, DFB and DBR lasers,
transmitters and receivers, pin and APD
detectors, noise analysis, receiver sensitivity
modulation formats, system performance, bit-
error-rate, power budget, TDM and WDM
systems, network architecture.
ENEE 698 Graduate Seminar (1-
3 credits)
Prerequisite: permission of instructor.
Every semester regular seminars are held in
electrical science and in the six areas of
specialization offered by the electrical
engineering department. They may be taken,
by arrangement with the student's advisor, for
repeated credit.
ENEE 699 Independent Studies
in Electrical Engineering (1-3
credits)
Repeatable to any number of credits if
content differs. Formerly ENEE609.
Supervised individual study or project, or
supervised group study or project, at an
advanced level, in electrical engineering.
ENEE 702 Advanced Electronic
Materials and Devices (3
credits)
Prerequisite: ENEE 480 or equivalent.
403
Credit will be granted for only one of the
following: ENEE 702 or ENEE 714.
Formerly ENEE7 14.
The operating principles, fabrication,
charateristics and applications of advanced
electronic devices will be covered. The
devices are the subject of current research that
offer unique advantages in certain aspects over
conventional devices. Core topics are as
follows: ideal properties of electron gas;
electronic states in bulk GaAs and at the
heterojunctions; doping properties in
heterostructures; electron transport properties
at 2D interfaces (including resonant
tunneling); electronic and optical properties at
2D interfaces; device applications (HEMT,
HBT, QWLaser, QDLaser). Possible
additional topics include low -dimensional and
nanometer- scale device physics, magnetic &
ferroelectric devices, single-electron
transistors, quantum devices, and RTD's.
ENEE 704 Physics and
Simulation of Semiconductor
Devices (3 credits)
Recommended: ENEE 600; ENEE 601 and
exposure to quantum mechanics. Credit
will be granted for only one of the
following: ENEE 694 and ENEE 704.
Formerly ENEE694.
The physics of electron transport in
semiconductor devices will be covered.
Numerical methods for attaining solutions to
transport equations will be explored. Students
will also learn how to use CAD tools fro
semiconductor device design. Nano-electronic
devices will be introduced.
ENEE 719 Advanced Topics in
Microelectronics (3 credits)
Repeatable to any number of credits if
content differs. Formerly ENEE718.
ENEE 720 Wireless
Communication Theory (3
credits)
Prerequisite: ENEE 620 and ENEE 621.
Credit will be granted for only one of the
following: ENEE 720 or ENEE 729W.
Formerly ENEE729W.
An advanced detection course that follows and
builds on the foundations of the single-user
detection theory covered in ENEE 621. The
main goal is to introduce the students to the
multiple-user communication theory, in
particular, multi-user detection theory.
Students are introduced to the multi-user
performance criteria of effective energy,
asymptotic multi-user efficiency and near-far
resistance. The physical layer techniques of
diversity reception/transmission, multiple
transmit/receive antennas and beamforming
will also be studied.
ENEE 723 Wireless
Communication Networks (3
credits)
Prerequisite: ENEE 620 and ENEE 625; or
equivalent.
Reviews the fundamental characteristics of
wireless networks by focusing on the wireless
link, on the media access control, and on
interference issues. It reviews the cellular
architecture model with emphasis on
bandwidth reuse, power control, handoffs, and
mobility tracking. It then considers wireless
local area networks with focus on
routing/ multicasting and on capacity notions.
It also considers the principles of layer
integration and energy efficiency and it
reviews the special cases of sensor networks
and satellite systems.
ENEE 725 Advanced Networking
(3 credits)
Prerequisite: ENEE 625 or equivalent.
This is a second-year graduate course in
networking. The objective of the course is to
teach the current and new protocols and
techniques for modeling a network.
ENEE 729 Advanced Topics in
Communication (3 credits)
Repeatable to any number of credits if
content differs. Formerly ENEE728.
ENEE 731 Image Understanding
(3 credits)
Prerequisite: ENEE 631 and ENEE 633.
Credit will be granted for only one of the
following: ENEE 739J or ENEE 731.
Formerly ENEE739J.
An advanced graduate level course on image
understanding. Mathematical and statistical
approaches to solving image understanding
problems will be discussed. Topics to be
covered include: optimal edge and shape
detection; image understanding using Markov
random field models; Monte Carlo Markov
Chain techniques for image understanding;
shape from shading, stereo, texture and
contour; structure from motion and object
recognition. Existence, uniqueness and
convergence issues for many of these
problems will be discussed.
ENEE 739 Advanced Topics in
Signal Processing (3 credits)
Repeatable to any number of credits if
content differs. Formerly ENEE738.
ENEE 749 Advanced Digital
Systems Design (3 credits)
Prerequisites: ENEE 640 or ENEE 644;
and permission of instructor. Repeatable to
6 credits if content differs.
VLSI architecture and algorithms; design
strategies; design methodologies; system-level
design; area/delay/power trade-offs; high
performance systems; multi-chip modules;
low-power design; hardware/software co-
design; design for testability, design for
manufacturability; algorithm, architecture, and
component design for adaptive computing
systems; prototype system development and
test, possible chip fabrication by MOSIS and
subsequent chip testing.
ENEE 750 VLSI Design
Automation (3 credits)
Prerequisites: ENEE 640; and permission
of instructor.
Design process of VLSI circuits and systems;
Computer-Aided Design (CAD) tools; system
partitioning, floorplanning, placement, global
and detailed routing; Field Programmable Gate
Arrays (FPGAs), Multi-Chip Modules
(MCMs), Printed Circuit Boards (PCBs),
possible chip fabrication by MOSIS and
subsequent chip testing.
ENEE 752 Computational
Intelligence and Knowledge
Engineering (3 credits)
Prerequisite: permission of instructor.
Concepts, design, implementation of
computational intelligence involving
integration of four methodologies: intelligent
database management systems, rule-based
systems, neural-type systems and fuzzy
systems for heuristic problem solving,
diagnostics, risk analysis and decision support;
decision trees, reasoning techniques, heuristics
and expertise; knowledge representation and
acquisition; machine learning systems for
pattern and feature extraction; neural network
models, fuzzy systems; neural networks as
expert systems; composite and neuro-fuzzy
systems; coupling databases, knowledge bases
and neural networks: hardware-software
issues, survey of practical designs and
evaluation. Completion of a term project
involving system integration of two or more
methodologies for a specific domain
application. Students in this course with the
approval of the instructor can fabricate, as part
of their term project, VLSI chips via MOSIS.
ENEE 756 Computer Networks
(3 credits)
Prerequisites: ENEE 324 or equivalent; and
ENEE 646.
ISO open systems reference model, protocol
layers, TCP/IP, channel coding, data
communication concepts, local area network
(LAN) topologies and transmission media,
queueing theory applied to LAN performance
modeling, LAN access techniques, network
interconnection, network reliability, network
security, performance analysis of ring and bus
topology networks, reliability of fiber optic
ring networks.
ENEE 757 Security in
Distributed Systems and
Networks (3 credits)
Prerequisite: ENEE 647; or permission of
instructor.
Threats and countermeasures in centralized
and distributed systems; communication
security techniques based on encryption;
symmetric and asymmetric encryption;
encryption modes, including stream and block
encryption, and cipher block chaining;
message origin and mutual authentication;
third-party and inter-realm authentication,
authentication of mobile users; data
confidentiality and integrity protocols; formal
analysis of authentication protocols and
message integrity; access control in distributed
systems and networks; firewall design; case
studies of security mechanisms and policies.
ENEE 759 Advanced Topics in
Computer Engineering (3
credits)
Repeatable to any number of credits if
content differs. Formerly ENEE748.
ENEE 762 Stochastic Control (3
credits)
Prerequisite: ENEE620 or equivalent; and
ENEE660 or equivalent. Also offered as
MAPL 742.
Stochastic control systems, numerical methods
for the Ricatti equation, the separation
principle, control of linear systems with
Gaussian signals and quadratic cost, non-linear
stochastic control, stochastic stability,
introduction to stochastic games.
ENEE 763 Advanced Nonlinear
Control Systems (3 credits)
Prerequisites: ENEE 663 and ENEE 661, or
permission of instructor.
General introduction to the geometric theory
of nonlinear control systems. Theory of
decoupling, disturbance rejection, feedback
linearization, stability, stabilization, etc.
ENEE 765 Adaptive Control (3
credits)
Prerequisite: ENEE660 or equivalent; and
ENEE664 or equivalent. Not open to ALL
404
students who have completed ENEE 769C.
General principles of adaptive control. Self-
tuning regulators and model reference adaptive
systems. Theoretical issues: stability,
convergence, and robustness. Practical issues:
implementation, computation, auto-tuning, and
other successful application. Alternatives to
adaptive control.
ENEE 769 Advanced Topics in
Controls (3 credits)
Repeatable to any number of credits if
content differs. Formerly ENEE768.
Topics selected, as announced every semester,
from the field of controls and its applications.
ENEE 780 Microwave
Engineering (3 credits)
Prerequisite: ENEE 681.
Mathematical methods for the solution of the
wave equation, transmission lines and
waveguides, selected topics in the theory of
waveguide structures, surface guides and
artificial dielectrics.
ENEE 789 Advanced Topics in
Electrophysics (3 credits)
Repeatable to any number of credits if
content differs. Formerly ENEE788.
Topics selected, as announced every semester,
from the field of electrophysics and its
applications.
ENEE 790 Quantum Electronics
i (3 credits)
Prerequisite: a knowledge of quantum
mechanics or permission of instructor.
Spontaneous emission, interaction of radiation
and matter, masers, optical resonators, the gas,
solid and semi-conductor lasers, electro-
optical effect, propagation in anisotropic
media and light modulation.
ENEE 791 Quantum Electronics
II (3 credits)
Nonlinear optical effects and devices, tunable
coherent light sources: optical parametric
oscillator; frequency conversion and dye laser.
Ultrashort pulse generation and measurement,
stimulated raman effect, and applications.
Interaction of acoustic and optical waves, and
holography.
ENEE 798 Advanced Topics in
Electrical Engineering (3
credits)
Formerly ENEE648.
Topics selected, as announced every semester.
ENEE 799 Master's Thesis
Research (1-6 credits)
ENEE 889 Teaching Workshop
(1 credits)
Open only to students seeking a Ph.D.
degree in Electrical Engineering;
Permission of department. Repeatable to 04
credits if content differs.
Provide training in education for senior PhD
students who contemplate an academic career,
and give them the opportunity to gain some
teaching experience. Emphasis is on issues
that are of special importance in electrical and
computer engineering education.
ENEE 898 Pre-Candidacy
Research (1-8 credits)
Open only to students seeking a Ph.D.
degree in Electrical Engineering;
Permission of department. Repeatable to 04
credits if content differs.
Provide training in education for senior PhD
students who contemplate an academic career,
and give them the opportunity to gain some
teaching experience. Emphasis is on issues
that are of special importance in electrical and
computer engineering education.
ENEE 899 Doctoral Dissertation
Research (1-8 credits)
Engineering Science
(ENES)
ENES 424 Engineering
Leadership Capstone (3 credits)
Prerequisite: ENES317, ENES320,
ENES472 and permission of department.
Recommended: ENES100 or equivalent.
The work initiated in the Introduction to
Engineering Leadership course brings together
further exploration of leadership styles and
concepts.
ENES 435 Product Liability and
Regulation (3 credits)
Junior standing.
Key topics include, biotechnology, safety
regulation, federal preemption, product
liability, professional negligence, antitrust,
privacy and information technology, risk
modeling, environmental protection, patent,
copyright, trade secrets, reverse engineering,
scientific and technological evidence,
international trade, engineering ethics.
Examples include plane crashes, computer
chip protection, human machine interfaces,
nuclear power plants, internet censorship,
flood control, earthquakes and biomedical
technology.
ENES 440 Science, Technology
and Society: Certificate Program
Capstone (3 credits)
Prerequisite: STS certificate students or
permission of department. Credit will be
granted for only one of the following:
ENES440 or UNIV401. Formerly
UNIV401.
Capstone research seminar for students in the
Science, Technology and Society certificate
program.
ENES 458 Topics in
International Engineering (1-4
credits)
Prerequisite: ENES100. Repeatable to 12
credits if content differs.
A variety of topics related to engineering in a
global context are discussed including cultural
aspects, cross-cultural communication,
international standards and law, and
engineering and technology issues, business
behavior, attitudes and values of selected
countries and regions.
ENES 460 Fundamentals of
Technology Start-Up Ventures
(3 credits)
Also offered as BUSI758T. Formerly
ENES489A.
Fundamental aspects of creating, organizing,
funding, managing, and growing a technology
startup venture. This multidisciplinary course
will draw on management, business, legal,
financial, as well as technical, concepts.
Students form teams and develop a business
plan for a technology company, based on each
team's own business idea and then present the
plan to a panel of outside experts.
ENES 461 Advanced
Entrepreneurial Opportunity
Analysis in Technology
Ventures (3 credits)
Explores the factors that influence
entrepreneurial opportunity analysis in
technology-based ventures. Uses a cognitive
theoretical framework to examine the
integration of motivation, emotions and
information processing modes to make
complex entrepreneurial decisions in fast pace
technology venture environments.
ENES 462 Marketing High-
Technology Products and
Innovations (3 credits)
Examines the opportunities and challenges of
marketing high-technology products in
turbulent environments requiring rapid
decision making with incomplete information.
Explores how innovations are introduced at
frequent intervals, research-and-development
spending is vital, and there are high mortality
rates for both products and businesses.
ENES 463 Strategies for
Managing Innovation (3 credits)
Emphasizes how the technology entrepreneur
can use strategic management of innovation
and technology to enhance firm performance.
Examines the process of technological change,
the ways that firms come up with innovations,
the strategies that firms use to benefit from
innovation, and the process of formulating
technology strategy. Provides frameworks for
analyzing key aspects of these industries and
teaches students how to apply these
frameworks.
ENES 464 International
Entrepreneurship and
Innovation (3 credits)
Focuses on the need for every entrepreneur
and innovator to understand the global market
in today's hypercompetitive world, and to
appreciate how to compete effectively in
domestic markets by managing international
competitors, suppliers, and influences. Explore
how the distinction between foreign and
domestic markets is becoming less
pronounced. Develop skills to identify and
manage opportunities on a global basis.
ENES 472 International
Business Cultures in
Engineering and Technology (3
credits)
Prerequisite: permission of department.
Sophomore standing. Also offered as
SLLC472. Credit will be granted for only
one of the following: ARHU439B,
ARHU439E, ARHU439T, ENES472,
SLLC471, SLLC472 or SLLC473.
Formerly ARHU439T.
The goal is to provide students with an
understanding of cultural aspects pertaining to
global business and engineering and develop
the cultural understanding, attitudes, and
communication skills needed to function
appropriately within an increasingly global
and multicultural working environment.
ENES 478 Topics in Engineering
Education (1 credits)
Restricted to students in Engineering
Teaching Fellow Program. Repeatable to 3
credits if content differs.
Topics related to teaching engineering courses,
particularly project-based courses. Topics can
include learning styles, student development
theory, multicultural issues in teaching,
facilitating team experiences, assessment, and
academic integrity.
405
ENES 480 Engineering Honors
Seminar I (1 credits)
Prerequisite: membership in College of
Engineering Honors. Junior standing.
Introduction to engineering leadership,
professionalism, and ethics. Discussions of
leadership style, elements of success,
professional communication, codes of ethics,
handling of ethical dilemmas, and the
characteristics of a professional.
ENES 481 Engineering Honors
Seminar II (1 credits)
Prerequisite: membership in College of
Engineering Honors. Junior standing.
Introduction to engineering creativity and
innovation in engineering. Application of
methods of creativity to topics in
communication, conducting research, and
leadership.
ENES 489 Special Topics in
Engineering (3-6 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Special topics in engineering.
ENES 490 Quest Consulting and
Innovation Practicum (4 credits)
Prerequisite: BMGT390 or ENES390. Also
offered as BMGT490. Credit will be
granted for only one of the following:
BMGT490orENES490.
Final course in the QUEST Honors Fellows
Program three-course curriculum. Based on a
team-based consulting project with one of
QUEST'S professional partners. A project
advisor and professional champion supervise
each student team. Requires extensive out-of-
class work.
ENES 496 NASA Academy (4
credits)
Two hours of lecture and four hours of
laboratory per week. Prerequisite: college
permission. Junior standing. Also offered
as CMPS496 or GEOG496. Credit will be
granted for only one of the following:
CMPS496, ENES496 or GEOG496.
A ten-week resident summer institute at
Goddard Space Flight Center for juniors,
seniors and first-year graduate students
interested in pursuing professional and
leadership careers in aerospace-related fields.
The national program includes research in a
Goddard laboratory, field trips to NASA
centers, and a combination of lectures and
workshops on the mission, current activities
and management of NASA. Students
interested in the Academy will find
information at http://nasa-academy.nasa.gov
Application should be made by the end of
January; sponsorship by an affiliated State
Space Grant Consortium is customary, but not
required.
ENES 498 Special Topics in
Entrepreneurship (3 credits)
Two hours of lecture per week.
Prerequisite: Hinman CEO's membership.
Repeatable to 12 credits if content differs.
This entrepreneurship seminar and case study-
based course will explore technology
entrepreneurship with a focus on leadership,
marketing, team-building, and management of
new technology ventures and assumes baseline
knowledge of entrepreneurship. Students will
learn skills needed to succeed as a technology
entrepreneur and how to apply best practices
for planning, launching, and growing new
companies. This course is a requirement of the
Hinman CEOs program.
ENES 508 Engineering
Professional Development for
Teachers (1-6 credits)
Two hours of lecture and three hours of
laboratory per week. Prerequisite:
permission of department. For non-
engineering majors only. Repeatable to 6
credits if content differs .
An introduction to the fundamental concepts
that underlie engineering and the process that
engineers use in solving technological
problems and in design work. Problems in
experimental analysis are demonstrated
through laboratory experiments. The
laboratory work provides the basis for
introductory design.
ENES 601 Future Faculty
Program Seminar I (1 credits)
Prerequisite: Open only to students in the
Clark School Future Faculty Program.
Introduction to and development of skills
necessary to obtain and succeed in a university
faculty position. Emphasis on technical
writing and effective presentations. Discussion
of research diversification, networking, ethics
and professionalism.
ENES 602 Future Faculty
Program Seminar II (1 credits)
Open only to students in the Clark School
Future Faculty Program.
Effective teaching techniques. Basic principles
of education and learning. Developing a
course; promoting active learning, problem
solving and critical thinking; designing exam
and assignments; and communicating
effectively with students.
ENES 603 Future Faculty
Program Seminar III (1 credits)
Prerequisite: Open only to students in the
Clark School Future Faculty Program.
Developing a successful faculty research
program. Establishing and maintaining a
research group. Finding funding opportunities
and writing grant proposals. Mentoring
graduate students. Faculty position application
process. Preparing research and teaching
statements.
ENES 604 Future Faculty
Program Teaching Practicum (1
credits)
Open only to graduate students in the Clark
School Future Faculty Program. Students
for whom English is not the native
language must pass the Maryland English
Institute ITA Evaluation prior to enrolling
in this course. Prerequisite: ENES602.
Graduate students will co-teach a course under
supervision of a faculty mentor. Graudate
students will be involved in all aspects of the
course including development of syllabus,
presenting lectures, writing and grading
examiniations, and evaluating the students in
the course.
Engineering, Fire
Protection (ENFP)
ENFP 405 Structural Fire
Protection (3 credits)
Prerequisite: ENES220. For ENFP majors
only.
Effects of elevated temperature on structural
materials; steel, concrete, wood, gypsum, glass
and reinforced plastics. Experimental
evaluation of fire resistance of building
assemblies. Analytical methods to evaluate
fire resistance of structural members.
ENFP 411 Fire Risk Assessment
(3 credits)
Prerequisites: ENFP250, ENFP255 and
permission of department.
Appraisal and measurement of fire safety.
Application of systems analysis, probability
theory, engineering economy, and risk
management in the identification and synthesis
of components of fire protection engineering.
Methods for the development of criteria for the
design, evaluation and assessment of fire
safety or component hazards.
ENFP 415 Fire Dynamics (3
credits)
Prerequisites: ENFP300 or ENME331; and
ENME320; and ENFP312 or permission of
department.
Introduction to pre mixed and diffusion flames;
ignition, flame spread and rate of burning; fire
plumes; flame radiation.
ENFP 416 Problem Synthesis
and Design (3 credits)
Senior standing.
Techniques and procedures of problem
orientation and solution design utilizing
logical and numerical procedures. Student
development of research projects in selected
areas.
ENFP 425 Fire Modeling (3
credits)
Prerequisite: permission of department.
Senior standing. For ENFP majors only.
An introduction to the elements of enclosure
fires through the development of fire modeling
algorithms and the application of computer-
based fire modeling techniques. Numerical
techniques, including curve-fitting, root-
finding, integration and the solution of
ordinary differential equations, are developed
in the context of enclosure fire modeling
applications. Math software packages,
including primarily spreadsheet programs, are
used to address and solve a variety of
enclosure fire problems.
ENFP 429 Independent Studies
(1-3 credits)
Prerequisite: permission of department. For
ENFP majors only. Repeatable to 6 credits
if content differs.
For students who have definite plans for
individual study of approved problems, or
study of an advanced topic selected in
conjunction with the faculty.
ENFP 431 Building Safety and
the Law (3 credits)
Junior standing.
Responding to natural and manufactured
building hazards requires a complex legal
environment, including regulation and
liability. Key topics include the use of model
codes, administrative regulation, retrospective
codes, federal preemption, arson, performance
based codes, risk based regulation, engineering
malpractice, product liability and disaster
investigation.
ENFP 435 Product Liability and
Regulation (3 credits)
Junior standing.
Key topics include, biotechnology, safety
regulation, federal preemption, product
liability, professional negligence, antitrust,
406
privacy and information technology, risk
modeling, environmental protection, patent,
copyright, trade secrets, reverse engineering,
scientific and technological evidence,
international trade, engineering ethics.
Examples include plane crashes, computer
chip protection, human machine interfaces,
nuclear power plants, internet censorship,
flood control, earthquakes and biomedical
technology.
ENFP 489 Special Topics (3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits.
Selected topics of current importance to fire
protection.
ENFP 610 Reliability and Risk
Analysis in Fire Protection
Engineering (3 credits)
Prerequisite: ENFP 411.
Reliability engineering analysis techniques in
fire protection engineering problems.
Computer models, probability distribution
theory and Monte Carlo methods.
ENFP 611 Fire Induced Flows (3
credits)
Recommended: ENFP 415.
Theoretical basis is presented for fire induced
bouyancy driven flows. Plumes, ceiling jets,
vent flows, compartment flows. Dimensional
analysis for correlations and scale model
applications. Smoke movement and
combustion products.
ENFP 612 Toxicity Evaluation
and Analysis (3 credits)
Physical, analytical procedures for the
measurement of the toxic components in
thermally produced smoke and gases. Human
tenability characteristics, physiological effects
of exposure components, dosages. Predictive
models of material production rates,
degradation variables. Effects of the different
measuring instrument variables. Combustion
gas analysis techniques.
ENFP 613 Human Response to
Fire (3 credits)
Prerequisite: permission of department.
Fractional effective dose (FED) methods for
predicting time to incapacitation and death of
fires for use in fire safety engineering
calculations. Physiology and toxicology of fire
effluent components, decomposition chemistry
of common materials, standard experimental
approaches. Predictive models of material
production rates. People movement
characteristics related to building evacuation.
Formulation and application of evacuation
models. Human behavior factors affecting
response of people to fire situations.
ENFP 619 Graduate Seminar (1-
3 credits)
Prerequisite: permission of department. For
ENFP majors only. Repeatable to 3 credits.
ENFP 620 Fire Dynamics
Laboratory (3 credits)
One hour of lecture and two hours of
laboratory per week. Recommended: ENFP
415.
Laboratory experiments are designed to
illustrate fire phenomena and test theoretical
models. Diffusion flames, ignition and flame
spread on solids, liquid pool fires, wood crib
fires, fire plumes, compartment fires.
ENFP 621 Analytical Procedures
of Structural Fire Protection (3
credits)
Prerequisite: ENFP 3 12 and ENFP 405.
Analysis procedures for structural components
of wood, steel, concrete, composites.
Structural capabilities, modifications under
fire induced exposures. Calculations, computer
models for predicting fire resistance ratings of
structural components.
ENFP 622 Advanced Fire
Protection Risk Assessment (3
credits)
Prerequisite: permission of department.
Definition, evaluation of the fire risk to a
process, facility or area. Prevention,
intervention, control, suppression strategies.
Resource allocation, queing theory, decision
priority, cost analysis.
ENFP 625 Advanced Fire
Modeling (3 credits)
Prerequisite: permission of department.
Validity, utility, reliability of current computer
models. Applications of models in risk
assessment, underwriting, loss prediction,
hazard analysis. Development and validation
of specific application models.
ENFP 627 Smoke Detection and
Management (3 credits)
Prerequisite: ENFP300.
Analysis of hazard smoke. Response analysis
of smoke detectors based on characteristics of
detectors and properties of smoke.
Performance characteristics and limitations of
smoke management systems. Capabilities and
limitations of analytical design aids.
ENFP 629 Selected topics (3-6
credits)
Prerequisite: permission of department. For
ENFP majors only. Repeatable to 6
credits.
Current research, studies in fire protection
engineering. Future trends and significant
changes in research, professional areas. The
professional standards process.
ENFP 630 Diffusion Flames and
Burning Rate Theory (3 credits)
Basic principles of diffusion flames for
gaseous, liquid, and solid fuels. Droplet
burning, B number, jet combustion, boundary
layer combustion, generalized methods.
ENFP 649 Special Problems (1-3
credits)
Prerequisite: permission of both department
and instructor. For ENFP majors only.
Repeatable to 6 credits if content differs.
Advanced topics selected by the faculty from
the current literature to suit the special needs
and background of students, or for individual
students who have definite plans of individual
study.
ENFP 799 Master's Thesis
Research (1-6 credits)
Prerequisite: permission of department.
Recommended: completion of ENFP
graduate requirements. Repeatable to 6
credits.
Development and completion of Master's
Thesis.
English (ENGL)
ENGL 402 Chaucer (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Works read in Middle English. Readings may
include Canterbury Tales, Troilus and
Criseyde, dream visions, lyrics.
ENGL 403 Shakespeare: The
Early Works (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Close study of selected works from the first
half of Shakespeare's career. Generic issues of
early histories, comedies, tragedies. Language,
theme, dramatic technique, sources, and early
modern English social-historical context.
ENGL 404 Shakespeare: The
Later Works (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Close study of selected plays from the second
half of Shakespeare's career. Generic issues of
later tragedies, later comedies, romances.
Language, theme, dramatic technique, sources,
and early modern English social-historical
context.
ENGL 407 Non-dramatic
Literature of the Sixteenth
Century (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Poetic and prose genres —Utopia, epic,
narrative, lyric, sonnet, oration, epistle,
sermon, apologia—in context of the literary
and intellectual life of the sixteenth century.
Writers such as More, Wyatt, Surrey, Sidney,
and Spenser.
ENGL 408 Literature by Women
Before 1800 (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Repeatable to 9 credits if content differs.
Also offered as WMST408. Credit will be
granted for only one of the following:
ENGL408 or WMST408.
Selected writings by women in the medieval
and early modern era.
ENGL 409 Study Abroad Special
Topics IV (1-6 credits)
Repeatable to 15 credits if content differs.
Special topics course taken as part of an
approved study abroad program.
ENGL 410 Edmund Spenser (3
credits)
Prerequisite: two English courses in
literature or permission of department.
Selected works of Edmund Spenser in their
literary, social, and historical contexts. Special
attention to The Faerie Queene; also sonnets
and lyric poetry.
ENGL 412 Literature of the
Seventeenth Century, 1600-1660
(3 credits)
Prerequisite: two English courses in
literature or permission of department.
Works from early Stuart through Interregnum
period. Major literary genres in historical
contexts. Writers such as Donne, Jonson,
Mary Wroth, Bacon, Browne, and Marvell.
ENGL 414 Milton (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Poetry and major prose in their social,
political, and literary -historical contexts.
Special attention to Paradise Lost. Other works
may include Samson Agonistes and shorter
poems.
407
ENGL 415 Literature of the
Seventeenth Century, 1660-1700
(3 credits)
Prerequisite: two English courses in
literature or permission of department.
English poetry, drama, fiction, and non-fiction
written from the Restoration of Charles II to
1700. Attention to increasing literacy and
publication and greater involvement by
women in literary production. Authors include
Milton, Dryden, Congreve, and Behn.
ENGL 416 Literature of the
Eighteenth Century, 1700-1750
(3 credits)
Prerequisite: two English courses in
literature or permission of department.
British literary traditions, including the poetry
of Pope, the prose of Swift, the
correspondence of Montagu, the drama of
Gay, and early novels by Defoe, Richardson,
and Fielding.
ENGL 417 Literature of the
Eighteenth Century, 1750-1800
(3 credits)
Prerequisite: two English courses in
literature or permission of department.
British poetry, drama, fiction, and nonfiction,
emphasizing innovative forms and attitudes in
genres such as the gothic novel and political
writings, as well as more traditional works.
Authors include Johnson, Burney, Sterne,
Burke, and Wollstonecraft.
ENGL 418 Major British Writers
before 1800 (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Repeatable to 9 credits if content differs.
Two writers studied intensively each semester.
ENGL 419 Major British Writers
after 1800 (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Repeatable to 9 credits if content differs.
Two writers studied intensively each semester.
ENGL 420 English Romantic
Literature (3 credits)
Prerequisite: two English courses in
literature; or permission of department.
British poetry, drama, fiction, and criticism
c.1790 to c.1830, a period of dramatic social
change and revolution in literature,
philosophy, the arts, industry, and politics.
Authors include Austen, Wordsworth,
Coleridge, Keats, Byron, Percy, and Mary
Shelley.
ENGL 422 English Victorian
Literature (3 credits)
Prerequisite: two English courses in
literature; or permission of department.
A survey of English literature of the Victorian
period. Writers may include Arnold,
Browning, Tennyson, Dickens, George Eliot,
Carlyle, Ruskin, Newman, Wilde.
ENGL 425 Modern British
Literature (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Major Modernist writers in English prose and
poetry since 1900. Such writers as Eliot,
Larkin, Forster, Burgess, Durrell, Henry
Green, Golding, Auden, Malcolm Lowry,
Joyce, and Yeats.
ENGL 428 Seminar in Language
and Literature (3 credits)
Junior standing. For ENGL majors only.
Repeatable to 9 credits if content differs.
Topics will vary each semester. The course
will provide a seminar experience in material
or methodologies not otherwise available to
the major.
ENGL 429 Independent
Research in English (1-6
credits)
Prerequisite: ENGL301 and two English
course (excluding fundamental studies
requirement) and permission of department.
Sophomore standing. Repeatable to 9
credits if content differs.
An advanced independent research project for
qualified students, supervised by an English
faculty member, on a topic not ordinarily
covered in available courses.
ENGL 430 American Literature,
Beginning to 1810, the Colonial
and Federal Periods (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Puritanism, the Enlightenment, early
Romanticism. Writers such as Bradstreet,
Franklin, Brown.
ENGL 431 American Literature:
1810 to 1865, the American
Renaissance (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Nationalism, Sentimentalism,
Transcendentalism. Writers such as Douglass,
Stowe, Melville.
ENGL 432 American Literature:
1865 to 1914, Realism and
Naturalism (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Reconstruction, Realism, Naturalism.
Representative writers such as Dickinson,
James, Dreiser.
ENGL 433 American Literature:
1914 to the Present, the Modern
Period (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Modernism, Postmodernism. Writers such as
Stevens, Stein, Ellison.
ENGL 434 American Drama (3
credits)
Prerequisite: two English courses in
literature or permission of department.
American drama from late eighteenth-century
to the present; emphasis on theater of the
twentieth century. Authors such as Tyler,
O'Neill, Hellman, Hansberry, and Albee.
ENGL 435 American Poetry:
Beginning to the Present (3
credits)
Prerequisite: two English courses in
literature or permission of department.
Selections of American poetry, from
Bradstreet to contemporary free verse. Authors
such as Whitman, Dickinson, Bishop, Hughes,
Rich, and Frost.
ENGL 437 Contemporary
American Literature (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Prose, poetry, drama of living American
writers. Current cultural and social issues.
ENGL 438 Major American
Writers before 1865 (3 credits)
Prerequisite: two English courses in
literature of permission of department.
Repeatable to 9 credits if content differs.
Two writers studied intensively each semester.
ENGL 439 Major American
Writers after 1865 (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Repeatable to 9 credits if content differs.
Two writers studied intensively each semester.
ENGL 440 The Novel in America
to 1914 (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Survey of the American novel to World War I.
Cultural and philosophical contexts; technical
developments in the genre. Authors such as
Melville, Wells Brown, James, Sedgwick,
Chopin.
ENGL 441 The Novel in America
Since 1914 (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Survey of the American novel since World
War I. Cultural and philosophical contexts,
technical developments in the genre. Authors
such as Hemingway, Cather, Faulkner, Anne
Tyler, Morrison.
ENGL 442 Literature of the
South (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Survey of fiction and poetry, especially the
period 1900 to the present. Authors such as
Faulkner, Welty, Glasgow, Wolfe, and
Hurston.
ENGL 443 Afro-American
Literature (3 credits)
Prerequisite: two English courses in
literature or permission of department.
An examination of the literary expression of
the black American in the United States, from
its beginning to the present.
ENGL 444 Feminist Critical
Theory (3 credits)
Prerequisite: ENGL250 or WMST200 or
WMST250. Also offered as WMST444.
Credit will be granted for only one of the
following: ENGL444 or WMST444.
Issues in contemporary feminist thought that
have particular relevance to textual studies,
such as theories of language, literature,
culture, interpretation, and identity.
ENGL 445 Modern British and
American Poetry (3 credits)
Prerequisite: two English courses in
literature or permission of department.
The formation of Modernism in British and
American poetry before 1930. Such poets as
Yeats, Pound, H.D., Eliot, Langston Hughes,
Moore, Stevens, and Williams.
ENGL 446 Post-Modern British
and American Poetry (3 credits)
Prerequisite: two English courses in
literature or permission of department.
British and American poets from the 1930s to
the present. Such poets as Auden, Williams,
Plath, Brooks, Lowell, Wolcott, Ted Hughes,
Bishop, Larkin, Jarrell, and Berryman.
408
ENGL 447 Satire (3 credits)
Prerequisite: two English courses in
literature or permission of department.
An introduction to English and American
satire from Chaucer to the present.
ENGL 448 Literature by Women
of Color (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Repeatable to 9 credits if content differs.
Also offered as WMST448. Credit will be
granted for only one of the following:
ENGL448 or WMST448.
Literature by women of color in the United
States, Britain, and in colonial and post-
colonial countries.
ENGL 449 Selected Topics in
U.S. Latina/o Literature (3
credits)
Prerequisite: Two English courses in
literature or permission of department.
Repeatable to 9 credits if content differs.
Credit will be granted for only one of the
following: ENGL449 or ENGL479F.
Study of selected works by U.S. Latina/o
writers.
ENGL 450 Renaissance Drama I
(3 credits)
Prerequisite: two English courses in
literature or permission of department.
Drama of the sixteenth century, from Sir
Thomas More's circle through Lyly, Greene,
Marlowe, and their successors. Interludes,
school drama, comedy and tragedy,
professional theater. Influences of humanism,
Protestantism, politics, and cultural change.
ENGL 451 Renaissance Drama II
(3 credits)
Prerequisite: two English courses in
literature or permission of department.
Drama in early decades of the seventeenth
century. Playwrights include Jonson,
Middleton, Marston, Webster, Beaumont and
Fletcher. Tragedy, city comedy, tragicomedy,
satire, masque. Pre-Civil War theatrical,
political, and religious contexts.
ENGL 452 English Drama From
1660 to 1800 (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Restoration and eighteenth-century drama,
with special attention to theater history,
cultural influences, concepts of tragedy,
comedy, farce, parody, and burlesque, as well
as dramatic and verbal wit.
ENGL 453 Literary Theory (3
credits)
Prerequisite: two literature courses.
An in-depth study of literary and critical
theory.
ENGL 454 Modern Drama (3
credits)
Prerequisite: two English courses in
literature or permission of department.
The roots of European Modernism and its
manifestation in the drama of the twentieth
century. Such playwrights as Beckett,
Churchill, Stoppard, Wilde, Chekhov, Ibsen,
Brecht, O'Neill, Sartre, Anouilh, Williams, and
Shaw.
ENGL 455 The Eighteenth-
Century English Novel (3
credits)
Prerequisite: two English courses in
literature or permission of department.
The origins and development of the British
novel, from the late seventeenth century until
the beginning of the nineteenth. Questions
about what novels were, who wrote them, and
who read them. Authors such as Behn, Defoe,
Richardson, Fielding, Sterne, Smollett,
Burney, Radcliffe, and Austen.
ENGL 456 The Nineteenth-
Century English Novel (3
credits)
Prerequisite: two English courses in
literature or permission of department.
Surveys major novels of the period. Attention
to narrative form and realism; representations
of gender and class; social contexts for
reading, writing and publishing. Authors such
as Austen, Bronte, Dickens, George Eliot,
Trollope.
ENGL 457 The Modern Novel (3
credits)
Prerequisite: two English courses in
literature or permission of department.
Modernism in the novel of the twentieth
century. Such writers as Joyce, Lawrence,
Murdoch, James, Forster, Faulkner,
Hemingway, Fitzgerald, Ellison, Welty,
Nabokov and Malamud.
ENGL 458 Literature by Women
after 1800 (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Repeatable to 9 credits if content differs.
Also offered as WMST458. Credit will be
granted for only one of the following:
ENGL458 or WMST458.
Selected writings by women after 1800.
ENGL 459 Selected Topics in
Sexuality and Literature (3
credits)
Prerequisite: two English courses in
literature; or permission of department.
Repeatable to 9 credits if content differs.
Detailed study of sexuality as an aspect of
literary and cultural expression.
ENGL 462 Folksong and Ballad
(3 credits)
A cross-section of American folk and popular
songs in their cultural contexts; artists from
Bill Monroe to Robert Johnson.
ENGL 464 African-American
Folklore and Culture (3 credits)
The culture of African Americans in terms of
United States history (antebellum to the
present) and social changes (rural to urban).
Exploration of aspects of African- American
culture and history via oral and literary
traditions and life histories.
ENGL 465 Theories of Sexuality
and Literature (3 credits)
Prerequisite: Two lower-level English
courses, at least one in literature; or
permission of department.
An in-depth study of the ways in which
sexuality and sexual difference create or
confound the conditions of meaning in the
production of literary texts. Attention to
psychoanalysis, history of sexuality, feminist
theory, and other accounts of sexual identity.
ENGL 466 Arthurian Legend (3
credits)
Prerequisite: two English courses in
literature or permission of department.
Development of Arthurian legend in English
and continental literature from Middle Ages to
twentieth century. All readings in modern
English.
ENGL 467 Computer and Text (3
credits)
Prerequisite: One English course in
literature or permission of department.
Examines electronic literature and other
aspects of digital textuality. Topics may
include interactive fiction, hypertext, image
and sound works, literary games and
simulations. Emphasis on critical and
theoretical approaches rather than design or
programming.
ENGL 468 Selected Topics in
Film Studies (3-9 credits)
Prerequisite: One college-level English
course in literature and at least one college-
level film course, or permission of
department. Recommended: ENGL245,
ENGL329, CMLT214, CMLT280.
Repeatable to 9 credits if content differs.
Credit will be granted for only one of the
following: ENGL468 or (ENGL479E-
Spring 2008, ENGL479F-Spring 2009,
ENGL479G-Fall 2008, or ENGL479M-Fall
2009/Fall2010).
Advanced studies in various periods and
genres of film.
ENGL 469 Honors Seminar:
Alternative Traditions (4-5
credits)
Prerequisite: permission of Director of
English Honors. Repeatable to 9 credits if
content differs.
Yearlong seminar focusing on a selected
literary, cultural, or social topic that features
texts and/or critical perspectives outside the
traditional canon.
ENGL 470 African-American
Literature: The Beginning to
1910 (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Beginnings of African-American literature
including origins of literary expression in folk
tales, songs, and spirituals; slave narratives;
pamphlets, essays and oratory; and the
emergence of poetry and fiction. Emphasis is
on interaction between literary forms and the
salient political issues of the day.
ENGL 471 African-American
Literature: 1910-1945 (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Emergence of modernism in African-
American writing including debates over the
definition of unique African- American
aesthetics, with emphasis on conditions
surrounding the production of African-
American literatures.
ENGL 472 African-American
Literature: 1945 to Present (3
credits)
Prerequisite: two English courses in
literature or permission of department.
Transformation of African- American
literatures into modern and postmodern forms.
Influenced by World War II and the Civil
Rights and Black Power movements, this
literature is characterized by conscious
attempts to reconnect literary and folk forms,
409
the emergence of women writers, and highly
experimental fiction.
ENGL 475 Postmodern
Literature (3 credits)
Prerequisite: two English courses in
literature; or permission of department.
Sophomore standing.
The origins and ongoing development of
postmodern literature. Aspects of the
"postmodern condition," such as the collapse
of identity, the erasure of cultural and aesthetic
boundaries, and the dissolution of life into
textuality. The novel and other genres and
media.
ENGL 477 Studies in
Mythmaking (3 credits)
Prerequisite: two literature courses.
Major themes, figures, and configurations of
northern European mythology, examining the
value of the mythic mode of thought in a
scientific era.
ENGL 478 Selected Topics in
English and American Literature
before 1800 (1-3 credits)
Prerequisite: two English courses in
literature or permission of department.
Repeatable if content differs.
ENGL 479 Selected Topics in
English and American Literature
after 1800 (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Repeatable if content differs.
ENGL 482 History of the English
Language (3 credits)
Prerequisite: ENGL280 or LING200 or
permission of department.
Origin and development of the English
language.
ENGL 483 American English (3
credits)
Prerequisite: ENGL280 or LING200 or
permission of department.
Origins and development of the various
dialects of English spoken in the United
States.
ENGL 484 Advanced English
Grammar (3 credits)
Credit will be granted for only one of the
following: ENGL484 or LING402.
Advanced study of grammatical description.
ENGL 486 Introduction to Old
English (3 credits)
Prerequisite: two English courses in
literature or permission of department.
Grammar, syntax, and phonology of Old
English. Works read in the original language.
Poetry may include "Battle of Maldon,"
"Dream of the Rood," "Wanderer," "Seafarer,"
riddles; prose of Bede, Wulfstan, Aelfric, and
other writers of Anglo-Saxon period in
England.
ENGL 487 Foundations of
Rhetoric (3 credits)
Credit will be granted for only one of the
following: ENGL487 or COMM401.
Principles and approaches to the theory,
criticism, and historical understanding of
rhetorical discourse.
ENGL 488 Topics in Advanced
Writing (3 credits)
Repeatable to 9 credits if content differs.
Different genres of technical and professional
writing including proposal writing, computer
documentation, technical report writing,
instruction manuals, etc. Students will analyze
models of a genre, produce their own versions,
test, edit and revise them.
ENGL 489 Special Topics in
English Language (3 credits)
Repeatable to 9 credits if content differs.
Current topics in language, such as linguistics,
history of rhetoric, and composition studies.
ENGL 493 Advanced Expository
Writing (3 credits)
Prerequisite: satisfactory completion of
professional writing requirement.
Writing processes and documents most
necessary for professional writers.
ENGL 494 Editing and
Document Design (3 credits)
Prerequisite: ENGL391, ENGL393 or
equivalent.
Principles of general editing for clarity,
precision and correctness. Applications of the
conventions of grammar, spelling, punctuation
and usage, and organization for logic and
accuracy. Working knowledge of the
professional vocabulary of editing applied
throughout the course.
ENGL 495 Independent Study in
Honors (1-3 credits)
Prerequisites: Candidacy for honors in
English and ENGL370 and ENGL373. For
ENGL majors only.
Completion and presentation of the senior
honors project.
ENGL 498 Advanced Fiction
Workshop (3 credits)
Prerequisite: ENGL396 or permission of
department. Repeatable to 9 credits if
content differs. Formerly ENGL496.
Practice in the craft of writing fiction, with
emphasis on the revision process. Students
encouraged to experiment with a variety of
subjects, voices, and forms. Selected readings,
frequent writing exercises, workshop format.
ENGL 499 Advanced Poetry
Workshop (3 credits)
Prerequisite: ENGL397 or permission of
department. Repeatable to 9 credits if
content differs. Formerly ENGL497.
Practice in the craft of writing poetry, with
emphasis on the revision process. Students
encouraged to experiment with a variety of
subjects, forms, and literary conventions.
Selected readings, frequent writing exercises,
workshop format.
ENGL 601 Literary Research and
Critical Contexts (3 credits)
ENGL 602 Critical Theory and
Literary Criticism (3 credits)
An introduction to critical theory and literary
criticism, with an overview of major
movements (including formalism,
structuralism and poststructuralism, Marxism,
psychoanalysis, and feminism). Designed to
help graduate students assess the various ways
of approaching and writing about literature.
ENGL 604 Old English (3
credits)
Grammar, syntax, phonology and prosody of
Old English. Designed to give graduate
students a working knowledge of Old English
and to introduce them to the major Old
English texts in the original.
ENGL 605 Readings in
Linguistics (3 credits)
A survey of theoretical and applied linguistics.
ENGL 607 Readings in the
History of Rhetorical Theory to
1900 (3 credits)
Earlier theories of effective written discourse
surveyed historically and as influenced by
ethical, technical, and social change.
ENGL 608 Introduction to the
Discipline (.50 credits)
For ENGL majors only.
An introduction to the graduate and
professional study of major fields, methods,
and current debates in English language and
Anglophone literary studies.
ENGL 611 Approaches to
College Composition (3 credits)
Required for graduate assistants (optional
to other graduate students). Prerequisite:
permission of department.
A seminar emphasizing rhetorical and
linguistic foundations for the handling of a
course in freshman composition.
ENGL 612 Approaches to
Professional and Technical
Writing (3 credits)
A pedagogical approach to professional and
technical writing, its history and methodolgy.
ENGL 618 Writing for
Professionals (3 credits)
Repeatable to 9 credits if content differs.
Writing proposals, reports, manuals, policy
statements, correspondence, etc. for typical
government and business settings. Principles
of rhetorical and linguistic analysis and
techniques for managing the review process in
large organizations.
ENGL 620 Readings in Medieval
English Literature (3 credits)
ENGL 621 Readings in
Renaissance English Literature
(3 credits)
ENGL 622 Readings in
Seventeenth-Century English
Literature (3 credits)
ENGL 623 Readings in
Eighteenth-Century English
Literature (3 credits)
ENGL 624 Readings in English
Romantic Literature (3 credits)
ENGL 625 Readings in English
Victorian Literature (3 credits)
ENGL 626 Readings in American
Literature before 1865 (3 credits)
ENGL 627 Readings in American
Literature, 1865-1914 (3 credits)
ENGL 628 Readings in African
American Literature (3 credits)
ENGL 629 Readings in Folklore
and Folklife (3-6 credits)
Readings pertaining to various genres of
African American folklore including oral
narrative, ballad, folksong, belief, custom and
material culture, with special attention given to
the history of the study of African American
folklore including fieldwork, interpretation
and the political application of these materials.
Explores issues of race, ethnicity, region,
gender and class, and the ongoing relations
between folklore and print and other media.
410
ENGL 630 Readings in 20th
Century English Literature (3
credits)
ENGL 631 Readings in 20th
Century American Literature (3
credits)
ENGL 638 Readings in Film as
Text and Cultural Form (3
credits)
Repeatable to 6 credits if content differs.
An inquiry into theoretical approaches to the
cinematic text that include studies of form,
culture, reception, ideological formations,
historical contextualizations, and the
problematics of representation.
ENGL 639 Myth: Theme and
Theory (3 credits)
Repeatable to 06 credits if content differs.
Readings in myth and myth criticism. History
of the discipline, major approaches, and
primary texts from European, Native
American, African and Mesopotamian cultures
ENGL 668 Readings in Modern
Literary Theory (3-6 credits)
Formerly ENGL666.
ENGL 679 Professional and
Career Mentoring for Master's
Students (1-3 credits)
Repeatable to 6 credits if content differs.
Augments advising currently provided by the
English Department Graduate Studies Office.
Individual professional and career mentoring
for MA and MFA students from a faculty
member.
ENGL 688 Poetry Workshop (3
credits)
Prerequisite: permission of department.
Poetry workshop.
ENGL 689 Fiction Workshop (3
credits)
Prerequisite: permission of department.
Fiction workshop.
ENGL 699 Independent Study (1-
3 credits)
Prerequisites: departmental approval of
research project; and permission of
instructor,
ENGL 701 Paradigms of Theory
(3 credits)
Three hours of discussion/recitation per
week.
Exploration of the works of four or five major
critical thinkers who underwrite the study of
theory in the academy today, with special
attention to the diversity within critical theory.
ENGL 702 Cultures of Theory (3
credits)
Three hours of discussion/recitation per
week. Prerequisite: An introductory course
in critical theory.
An exploration of the socio-historic, material,
and cultural contexts of various theoretical
practices and traditions.
ENGL 708 Seminar in Rhetoric
(3 credits)
Repeatable to 9 credits if content differs.
Topics in rhetoric: history of rhetorical theory,
modern rhetorical theory, rhetorical
interpretation, composition theory, rhetoric of
social groups.
ENGL 709 Seminar in Myth (3
credits)
Repeatable to 9 credits if content differs.
Formerly ENGL777.
Seminar in myth.
ENGL 718 Seminar in Medieval
Literature (3 credits)
ENGL 719 Seminar in
Renaissance Literature (3
credits)
ENGL 728 Seminar in
Seventeenth-Century Literature
(3 credits)
ENGL 729 Seminar in
Eighteenth-Century Literature (3
credits)
ENGL 738 Seminar in
Nineteenth-Century Literature (3
credits)
ENGL 739 Seminar in
Nineteenth-Century Literature (3
credits)
ENGL 748 Seminar in American
Literature (3 credits)
ENGL 749 Studies in Twentieth-
Century Literature (3 credits)
ENGL 758 Literary Criticism and
Theory (3 credits)
ENGL 759 Seminar in Literature
and the Other Arts (3 credits)
ENGL 768 Studies in Drama (3
credits)
ENGL 769 Studies in Fiction (3
credits)
ENGL 775 Seminar in
Composition Theory (3 credits)
Readings and research in recent theories of
effective writing.
ENGL 778 Seminar in Folklore (3
credits)
ENGL 779 Seminar in Language
Study (3 credits)
Seminar in linguistic aspects of literature and
composition.
ENGL 788 Studies in Poetic
Form (3 credits)
Repeatable to 9 credits.
ENGL 789 Form and Theory in
Fiction (3 credits)
Prerequisite: permission of department.
A variety of prose modes (mediations,
psychological studies, reportage myths,
collage, magic realism, satire, etc.). Some of
the writers to be read include Kafka, Cather,
Barth, Kundera, and Barthelme.
ENGL 798 Critical Theory
Colloquium (1 credits)
One hour of discussion/recitation per week.
Prerequisite: A course in critical theory.
Repeatable to 10 credits if content differs.
Also offered as CMLT 798.
An intensive advanced exploration of current
problems and issues in critical theory.
ENGL 799 Master's Thesis
Research (1-6 credits)
ENGL 809 Academic Publishing
Worksop (1-2 credits)
For English graduate students only, with
permission of instructor. Preference will be
given to doctoral students beyond
coursework. Repeatable to 8 credits if
content differs.
A workshop for the preparation of articles of
other critical writing for publication in
academic journals or other professional
venues.
ENGL 819 Seminar in Themes
and Types in English Literature
(3 credits)
ENGL 828 Seminar in Themes
and Types in American
Literature (3 credits)
ENGL 878 Pedagogical
Mentoring for Doctoral Students
(1-3 credits)
Repeatable to 12 credits if content differs.
Pedagogical mentoring by roster faculty
members for graduate students teaching 200-
level literature courses.
ENGL 879 Professional
Mentoring for Doctoral Students
(1-3 credits)
Repeatable to 12 credits if content differs.
Augments advising currently provided by the
English Department Graduate Studies Office.
Individual professional and career mentoring
for PhD students from a faculty member.
ENGL 898 Pre-Candidacy
Research (1-8 credits)
Repeatable to 12 credits if content differs.
Pedagogical mentoring by roster faculty
members for graduate students teaching 200-
level literature courses.
ENGL 899 Doctoral Dissertation
Research (1-8 credits)
Engineering,
Materials (ENMA)
ENMA 420 Intermediate
Ceramics (3 credits)
Prerequisites: ENMA300; or permission of
department.
To introduce basic concepts such as crystal
chemistry, defect chemistry and ternary phase
equilibria which can also be used to illustrate
the various types of advanced ceramics
(superconductors; superionic conductors;
dielectrics including ferroelectrics; optical
materials; high temperature structural
materials; etc.) and allow an understanding of
their behaviors.
ENMA 421 Design of
Composites (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: ENMA421 or ENMA489A.
Formerly ENMA489A.
Fundamentals of design, processing and
selection composite materials for structural
applications will be covered. The topics
include a review of all classes of materials, an
in-depth analysis of micro and macro
mechanical behavior including interactions at
the two-phase interfaces, modeling of
composite morphologies for optimal
microstructures, material aspects, cost
considerations, processing methods including
consideration of chemical reactions and
stability of the interfaces, and materials
selection considerations.
ENMA 422 Radiation Effects of
Materials (3 credits)
411
Prerequisite: ENMA300; or permission of
department. Credit will be granted for only
one of the following: ENMA422 or
ENMA489E. Formerly ENMA489E.
Ionizing radiation, radiation dosimetry and
sensors, radiation processing, radiation effects
on: polymers, metals, semiconductors, liquids,
and gases. Radiation in advanced
manufacturing, radiation-physical technology.
ENMA 423 Manufacturing with
Polymers (3 credits)
Prerequisite: ENMA300 or permission of
department. Credit will be granted for only
one of the following: ENMA423 and
ENMA489R. Formerly ENMA489R.
Study of the process of engineering design and
development of polymer formulations.
Knowledge of commodity polymers and their
physical properties, ability to design an
extrusion process, develop the economics of a
polymer manufacturing process, develop a
working knowledge of characterization
techniques for determination of physical and
mechanical properties of polymers.
ENMA 425 Introduction to
Biomaterials (3 credits)
Prerequisite: permission of department.
Recommended: ENMA300. Also offered as
BIOE453. Credit will be granted for only
one of the following: BIOE453,
ENMA489W or ENMA425. Formerly
ENMA489W.
Examination of materials used in humans and
other biological systems in terms of the
relationships between structure, fundamental
properties and functional behavior.
Replacement materials such as implants,
assistive devices such as insulin pumps and
pacemakers, drug delivery systems,
biosensors, engineered materials such as
artificial skin and bone growth scaffolds, and
biocompatibility will be covered.
ENMA 426 Reliability of
Materials (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: ENMA426 or ENMA489R.
Formerly ENMA489R.
Students are taught the basic degradation
mechanisms of materials, through the
understanding of the physics, chemistry,
mechanics of such mechanisms. Mechanical
failure mechanisms concentrate on fatigue,
and creep. Chemical failure mechanisms
emphasize corrosion and oxidation. Physical
mechanisms such as diffusion,
electro migration, defects and defect migration,
surface trapping mechanisms, charge creation
and migration are also included.
ENMA 430 Nanosized Materials
(3 credits)
Prerequisite: ENMA460 orPHYS431; and
CHEM231 or CHEM481. Credit will be
granted for only one of the following:
ENMA430 or ENMA489G. Formerly
ENMA489G.
Practical aspects of nanoscale materials
fabrication and utilization will be covered. It
presents various approaches for the synthesis
of nanoparticles, nanowires, and nanotubes,
and discusses the unique properties observed
in these structures and devices made with
them.
ENMA 440 Nano Plasma
Processing of Materials (3
credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: ENMA440, ENMA489P,
ENMA640, or ENMA698P. Formerly
ENMA489P.
Sustaining mechanisms of plasmas are
covered, especially low-pressure electrical gas
discharges, fundamental plasma physics,
sheath formation, electric and magnetic field
effects, plasma-surface interactions in
chemically reactive systems, plasma
diagnostic techniques and selected industrial
applications of low pressure plasmas.
ENMA 441 Nanotechnology
Characterization (3 credits)
Prerequisite: permission of department.
Senior standing. Credit will be granted for
only one of the following: ENMA489T or
ENMA441. Formerly ENMA489T.
Techniques to characterize structure, forces,
composition and transport at the nanoscale are
covered. Underlying principles,
instrumentation, capabilities and limitations
are discussed for scanning tunneling
microscopy and spectroscopy, force
microscopies, electron optical microscopies
and scattering techniques. Examples from the
recent literature are discussed through in-class
presentations and guest lectures.
ENMA 442 Nanomaterials (3
credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: ENMA442 or ENMA489N.
Formerly ENMA489N.
An exploration of materials whose structure
places them at the boundary between small
objects and large molecules. Having
characteristic dimensions in the range of 1-100
nanometers, these materials are difficult to
synthesize and characterize but are
nevertheless at the forefront of science and
technology in many fields. Also, the methods
for creating, manipulating and measuring these
materials with an emphasis on the current
scientific literature will be covered. The novel
properties and potential applications will also
be addressed.
ENMA 443 Phontonic Materials,
Devices and Reliability (3
credits)
Prerequisite: permission of department.
Junior standing. Credit will be granted for
only one of the following: ENMA443 or
ENMA489Z. Formerly ENMA489Z.
The course focuses on the understanding of the
basic optical processes in semiconductors,
dielectrics and organic materials. The
application of such materials in systems
composed of waveguides, light emitting
diodes and lasers, as well as modulators is
developed.
ENMA 460 Physics of Solid
Materials (3 credits)
Prerequisites: MATH241; PHYS270; and
PHYS271. Junior standing. For ENMA
majors only. Also offered as PHYS431.
Credit will be granted for only one of the
following: ENMA460 or PHYS43 1 .
Classes of materials; introduction to basic
ideal and real materials' behavior including
mechanical, electrical, thermal, magnetic and
optical responses of materials; importance of
mic restructure in behavior. One application of
each property will be discussed in detail.
ENMA 461 Thermodynamics of
Materials (3 credits)
Prerequisite: ENMA300. Junior standing.
Thermodynamic aspects of materials; basic
concepts and their application in design and
processing of materials and systems. Topics
include: energy, entropy, adiabatic and
isothermal processes, internal and free energy,
heat capacity, phase equilibria and surfaces
and interfaces.
ENMA 462 Smart Materials (3
credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: ENMA462 or ENMA489B.
Formerly ENMA489B.
A fundamental understanding will be provided
as it relates to the following topics: ferroic
materials, ferromagnets, ferroelectric
materials, shape memory alloys and
multifeiToic materials that are simultaneously
ferro magnetic and ferroelectric. The ferroic
properties will be discussed on an atomic,
nano- and micro-scales so that actual and
potential applications on those scales become
clear. Examples of those applications will be
presented.
ENMA 463 Macroprocessing of
Materials (3 credits)
Prerequisite: ENMA300. Junior standing.
Processing of modern, bulk engineering
materials. Raw materials, forming, firing,
finishing and joining. More emphasis on
metals and ceramics than polymers.
ENMA 464 Environmental
Effects on Engineering Materials
(3 credits)
Prerequisite: ENMA300 or permission of
both department and instructor.
Introduction to the phenomena associated with
the resistance of materials to damage under
severe environmental conditions. Oxidation,
corrosion, stress corrosion, corrosion fatigue
and radiation damage are examined from the
point of view of mechanism and influence on
the properties of materials. Methods of
corrosion protection and criteria for selection
of materials for use in radiation environments.
ENMA 465 Microprocessing
Materials (3 credits)
Prerequisite: ENMA300. Also offered as
ENMA489B. Credit will be granted for
only one of the following: ENMA363,
ENMA489B. orENMA465. Formerly
ENMA363.
Micro and nanoscale processing of materials.
Emphasis on thin film processing for advanced
technologies.
ENMA 466 Advanced Materials
Fabrication Laboratory (3
credits)
Prerequisite: ENMA465 and permission of
department.
This course allows students an opportunity to
study advanced materials systems in depth
through a combination of lectures and hands-
on laboratory experiments. Students will be
trained in materials processing and
characterization techniques. Each student will
fabricate materials and devices in our state-of-
the-art nano fabrication clean room facility
(Fablab), as well as evaluate them using a
variety of characterization techniques.
ENMA 471 Kinetics, Diffusion
and Phase Transformations (3
412
credits)
Pre- or corequisite: ENMA461. Junior
standing or permission of department.
Fundamentals of diffusion, the kinetics of
reactions including nucleation and growth and
phase transformations in materials.
ENMA 472 Technology and
Design of Engineering Materials
(3 credits)
Prerequisite: ENMA300.
Relationship between properties of solids and
their engineering applications. Criteria for the
choice of materials for electronic, mechanical
and chemical properties. Particular emphasis
on the relationships between the structure of
solids and their potential engineering
applications.
ENMA 481 Introduction to
Electronic and Optical Materials
(3 credits)
Prerequisite: ENMA300 or equivalent.
Electronic, optical and magnetic properties of
materials. Emphasis on materials for advanced
optoelectronic and magnetic devices and the
relationship between properties and the
processing/fabrication conditions.
ENMA 482 Introduction to
Electron Microscopy (3 credits)
Prerequisite: PHYS122, PHYS142 or
PHYS260. Credit will be granted for only
one of the following: ENMA482 or
ENMA489J. Formerly ENMA489J.
An introduction of the basic principles of
operation for modern electron microscopes.
Details will be given on the construction of
microscopes, their basic operation, and the
types of questions that can be addressed with
an electron microscope. Emphasis will be
placed on a conceptual understanding of the
underlying theories. Where appropriate,
mathematical descriptions will be utilized.
Upon completion of this course, students will
be excepted to have a basic understanding
sufficient to give interpretations of microscopy
images and to suggest the correct tool or
approach for certain research studies.
ENMA 489 Selected Topics in
Engineering Materials (3
credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
To introduce basic concepts such as crystal
chemistry, defect chemistry and ternary phase
equilibria which can also be used to illustrate
the various types of advanced ceramics
(superconductors; superionic conductors;
dielectrics including ferroeletrics; optical
materials; high temperature structural
materials; etc.) and allow an understanding of
their behaviors.
ENMA 490 Materials Design (3
credits)
One hour of lecture and six hours of
laboratory per week. Senior standing.
Capstone design course. Students work in
teams on projects evaluating a society or
industry based materials problem and then
design and evaluate a strategy to minimize or
eliminate the problem; includes written and
oral presentations.
ENMA 495 Polymeric
Engineering Materials I (3
credits)
Prerequisite: ENMA300. Also offered as
ENCH490. Credit will be granted for only
one of the following: ENCH490 or
ENMA495.
Study of polymeric engineering materials and
the relationship to structural type. Elasticity,
viscoelasticity, anelasticity and plasticity of
single and multiphase materials. Emphasis is
on porymetric materials.
ENMA 499 Senior Laboratory
Project (1-3 credits)
Senior standing.
Students work with a faculty member on an
individual laboratory project in one or more of
the areas of engineering materials. Students
will design and carry out experiments,
interpret data and prepare a comprehensive
laboratory report.
ENMA 620 Polymer Physics (3
credits)
Prerequisite: ENMA 470 and ENMA 471
or permission of instructor.
The thermodynamics, structure, morphology
and properties of polymers. Developing an
understanding of the relationships between
theory and observed behavior in polymeric
materials.
ENMA 621 Advanced Design
Composite Materials (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: ENMA621 orENMA698A.
Formerly ENMA698A.
Fundamentals of design, processing, and
selection of composite materials for structural
applications are covered. The topics include a
review of all classes of engineering materials,
an in-depth analysis of micro and macro
mechanical behavior including interactions at
the two-phase interfaces, modeling of
composite morphologies for optimal
mic restructures, material aspects, cost
considerations, processing methods- including
consideration of chemical reactions, stability
of the interfaces and material selection.
ENMA 624 Radiation
Engineering (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: ENMA624 or ENMA698E.
Formerly ENMA698E.
Ionizing radiation, radiation dosimetry and
sensors, radiation processing, radiation effects
on ; polymers, metals, semiconductors, liquid,
and gas, radiation in advance manufacturing,
radiation-physical technology.
ENMA 625 Biomaterials (3
credits)
per week. Prerequisite: permission of
department. Credit will be granted for only
one of the following: ENMA425,
ENMA698I, BIOE698I, orENBE453.
Formerly ENMA698I.
Examination of materials used in humans and
other biological systems in terms of the
relationships between structure, fundamental
properties and functional behavior.
Replacement materials such as implants,
assistive devices such as insulin pumps and
pacemakers, drug delivery systems,
biosensors, engineered materials such as
artificial skin and bone growth scaffolds, and
biocompatibility will be covered.
ENMA 626 Reliability
Engineering (3 credits)
Formerly: ENMA698M and ENMA698R.
Also offered as ENRE600. Credit will be
granted for only one of the following:
ENMA626, ENMA698M, ENMA698R,
and ENRE600.
Advanced failure mechanisms in reliability
engineering will be taught from a basic
materials and defects point of view. The
methods of predicting the physics of failure of
devices, materials, components and systems
are reviewed. The main emphasis will be
given to basic degradation mechanisms
through understanding the physics, chemistry,
and mechanics of such mechanisms.
Mechanical failures are introduced through
understanding fatigue, creep and yielding in
materials, devices and components. The
principles of cumulative damage and
mechanical yielding theory are taught. The
concepts of reliability growth, accelerated life
testing, environmental testing are introduced.
Physical, chemical and thermal related failures
are introduced through a basic understanding
of degradation mechanisms such as diffusion,
electromigration, defects and defect migration.
The failure mechanisms in basic material types
will be taught. Failure mechanisms observed
in real electronic devices and electronic
packaging will also be presented. Problems
related to manufacturing, and microelectronics
will be analyzed. Mechanical failures are
emphasized from the point of view of complex
fatigue theory.
ENMA 627 Nanotechnology
Characterization (3 credits)
Credit will be granted for only one of the
following: ENMA627 or ENME698T.
Formerly ENMA698T.
Techniques to characterize the properties of
materials whose characteristic dimensions are
a few to a few hundred nanometers, including
"conventional" nanocrystalline materials, but
concentrating on "novel" nanomaterials:
carbon nanotubes, quantum dots, quantum
wires, and quantum wells will be covered. The
emphasis is on recent results from the
scientific literature concerning those properties
that make nanostructures interesting: quantum
effects, novel transport phenomena, enhanced
mechanical properties associated with
localization and with small crystalline size.
ENMA 630 Advanced Nanosized
Materials: Synthesis and
Utilization (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: ENMA630 or ENMA6998G.
Formerly ENMA698G.
This course covers fundamental theory and
fabrication-related aspects of nanoscale
materials science. Topics: Quantization of
energy level in solids and its effect on
properties. Nucleation, growth and aging.
Nano-epitaxy. Anisotropic crystal engineering.
Electrical Transport. Nano-magnetism.
Properties of carbon nanotubes. Applications
in electronics, optics, data storage, energy and
bio medicine.
ENMA 640 Advanced Nano
Processing of Materials with
Plasma (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: ENMA440, ENMA489P,
ENMA698P or ENMA640. Formerly
ENMA698P.
Plasmas are used to control the micro-and
Nanoscale level structure of materials
including patterning at the micro-and
413
nanoscale level using plasma etching
techniques. The course establishes the
scientific understanding required for the
efficient production of na no- structure using
plasma techniques.
ENMA 641 Nanotechnology
Characterization (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: ENMA698T or ENMA641 .
Formerly ENMA698T.
Techniques to characterize the properties of
materials whose characteristic dimensions are
a few to a few hundred nanometers, including
conventional nanocrystalline materials, but
concentrating on novel nanomaterials: carbon
nanotubes, quantum dots, quantum wires, and
quantum wells are covered. The emphasis is
on recent results from the scientific literature
concerning those properties that make
nanostructures interesting: quantum effects,
novel transport phenomena, enhanced
mechanical properties associated with
localization and with small crystallite size.
ENMA 642 Current Trends in
Nanomaterials (3 credits)
Credit will be granted for only one of the
following: ENMA642 or ENMA698N.
Formerly ENMA698N.
Presents a historical and contemporary
perspective of the trends of development of
nanomaterials. Having characteristic
dimensions in the range of 1-100 nanometers,
these materials are difficult to synthesize a nd
characterize but are nevertheless at the
forefront of science and tec hnology in many
fields. Through detailed analysis of the current
literat ure, all students will develop a sense for
not only where the science an d technology
has come but also where it is going.
ENMA 643 Advanced Photonic
Materials (3 credits)
Prerequisite: permission of department.
Also offered as ENRE648Z. Credit will be
granted for only one of the following:
ENMA698Z, ENRE648Z, orENMA643.
Formerly ENMA698Z.
The understanding of the basic optical
processes in photonic devices and systems
compsed of waveguides, light emitting diodes
and lasers, as well as modulators is developed.
Lectures on basic degradation mechanisms of
such systems will be presented. The area of
organic based LED reliability will be covered
from the point of view of the stability of the
organic -inorganic interface.
ENMA 644 Advanced Ceramics
(3 credits)
Credit will be granted for only one of the
following: ENMA644 or ENMA698C.
Formerly ENMA698C.
Introduces concepts such as crystal chemistry,
defect chemistry and ternary phase equilibria
which can also be used to illustrate the various
types of advanced ceramics (superconductors;
superionic conductors; dielectrics including
feiToelectrics; optical materials; high
temperature structural materials; etc.) and
allow an understanding of their behaviors.
ENMA 645 Advanced Liquid
Crystals and Other Monomeric
Soft Matter Materials (3 credits)
Credit will be granted for only one of the
following: ENMA645 or ENMA698D.
Formerly ENMA698D.
Elective course on the properties and behavior
of liquid crystal and related soft materials, and
their relationship to biomaterials and
applications.
ENMA 650 Nanometer Structure
of Materials (3 credits)
Prerequisite: ENMA 470 or equivalent.
The basic concepts required for understanding
nanostructured materials and their behavior
will be covered. Topics covered include the
structural aspects of crystalline and amorphous
solids and relationships to bonding types,
point and space groups. Summary of
diffraction theory and practice. The reciprocal
lattice. Relationships of the microscopically
measured properties to crystal symmetry.
Structural aspects of defects in crystalline
solids.
ENMA 659 Special Topics in
Electronic Materials (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Topics of current interest in the design and
manufacture of electronic materials.
ENMA 660 Thermodynamics in
Materials Science (3 credits)
Prerequisite: permission of department.
Corequisite: ENMA 650.
Thermodynamics of engineering solids.
Thermal, diffusional and mechanical
interactions in macroscopic systems. Systems
in thermal contact, systems in thermal and
diffusive contact, systems in thermal and
mechanical contact.
ENMA 661 Kinetics of Reactions
in Materials (3 credits)
Prerequisite: ENMA 660.
The theory of thermally activated processes in
solids as applied to diffusion, nucleation and
interface motion. Cooperative and
diffusionless transformations. Applications
selected from processes such as allotropic
transformations, precipitation, martensite
formation, solidification, ordering, and
corrosion.
ENMA 662 Advanced Smart
Materials (3 credits)
Credit will be granted for only one of the
following: ENMA662 orENMA698W.
Formerly ENMA698W.
This course will cover the three ferroic
materials, fe no magnetic, ferroelectric, and
feiToelastic (also known as Shape Memory
Alloy, SMA) as well as materials that are
simultaneously ferro magnetic and ferroelectric
etc. Their similarities and differences will be
identified and their atomic level and crystal
structure examined. Phase transformations are
very important and will be treated in some
detail. Applications, e.g. permanent magnets,
electronic magnetic materials, digital storage
elements, actuators and sensors as well as
SMAs for vision glasses, self-adjusting valves
and the like will be covered.
ENMA 669 Special Topics in the
Chemical Physics of Materials (3
credits)
Prerequisite: permission of both department
and instructor.
ENMA 671 Defects in Materials
(3 credits)
Prerequisite: permission of department.
Fundamental aspects of point (electronic and
atomic) defects, dislocations, and surfaces and
interfaces in materials. Defect interactions,
defect models, and effects of zero, one and
two dimensional defects on material behavior.
ENMA 679 Special Topics in the
Mechanical Behavior of
Materials (3 credits)
Prerequisite: permission of department.
Topics of current interest in the mechanical
behavior of materials.
ENMA 680 Experimental
Methods in Materials Science (3
credits)
Prerequisite: ENMA 650.
Methods of measuring the structural aspects of
materials. Optical and electron microscopy.
Resonance methods. Electrical, optical and
magnetic measurement techniques.
Thermodynamic methods.
ENMA 681 Diffraction
Techniques in Materials Science
(3 credits)
Prerequisite: ENCH 620.
Theory of diffraction of elections, neutrons
and X-rays. Strong emphasis on diffraction
methods as applied to the study of defects in
solids. Short range order, thermal vibrations,
stacking faults, microstrain.
ENMA 682 Electron Microscopy
for Research (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: ENMA682 or ENMA698J.
Formerly ENMA698J.
An overview of the basic principles of
operation for modern electron microscopes
and how they are used in modern research.
Details will be given on the construction of
microscopes, their basic operation, and the
types of questions that can be addressed with
an electron microscope. Emphasis will be
placed on a conceptual understanding of the
underlying theories, and how to apply these to
real-world research problems. Independent
study into a specific area of electron
microscopy will contribute to a term paper.
Upon completion of this course, student will
be expected to have a basic understanding
sufficient to give interpretations of microscopy
images and to suggest the correct tool or
approach for certain research studies.
ENMA 683 Structural
Determination Laboratory (1
credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: ENMA698L or ENMA683.
Formerly ENMA698L.
The operation of an electron microscope is
covered. TEM techniques that are used to
characterize the structure, defects and
composition of a sample are presented and
used to study a variety of materials. These
techniques are: election diffraction patterns,
bright/dark field imaging, high resolution lattic
imaging and energy dispersive x-ray
spectroscopy. Also covers different sample
preparation techniques for TEM. The goal is
that the students become independent users of
the TEM.
ENMA 684 Advanced Finite
Element Modeling (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: ENMA684 or ENMA698I.
Formerly ENMA698I.
A brief review of mechanical behavior of
414
materials, introduction to Finite Element
Modeling (FEM), and procedures for
predicting mechanical behavior of materials by
FEM using computer software (at present
ANSYS). The FEM procedures include,
setting up the model, mesh generation, data
input and interpretation of the results.
ENMA 685 Advanced Electrical
and Optical Materials (3 credits)
Credit will be granted for only one of the
following: ENMA685 orENMA698F.
Formerly ENMA698F.
Students become familiar with basic and state
of the art knowledge of some technologically
relevent topics in materials engineering and
applied physics, including
dielectric/ferroelectric materials, magnetic
materials, superconductors, multiferroic
materials and optical materials with an
underlying emphasis on the thin film and
device fabrication technology. Fundamental
physical properties and descriptions of
different materials and their applications are
included. Discussion will include new
developments in the fields.
ENMA 687 Nanoscale Photonics
and Applications (3 credits)
Credit will be granted for only one of the
following: ENMA 687 or ENMA 698Z.
Formerly ENMA698Z.
Advanced topics in photonics including
optical ray propogation, LEDS and the
interaction of light in nanostructured materials
for optoelectronic applications will be
covered.
ENMA 688 Seminar in Materials
Science and Engineering (1
credits)
For ENMA majors only. Repeatable to 04
credits if content differs. Formerly
ENMA697.
Current research in materials science and
engineering and related fields.
ENMA 689 Special Topics in
Engineering Materials (3
credits)
Prerequisite: permission of both department
and instructor. Repeatable to 6 credits if
content differs. Formerly ENMA691.
ENMA 698 Special Problems in
Materials Science and
Engineering (1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Individual, supervised study in materials
science and engineering.
ENMA 797 Independent Study (3
credits)
This course is designed to provide students
with a directed independent study course in
order to prepare the scholarly paper required
for the master's degree without thesis degree
option.
ENMA 799 Master's Thesis
Research (1-6 credits)
ENMA 808 Advanced Topics in
Engineering Materials (3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
ENMA 898 Pre-Candidacy
Research (1-8 credits)
ENMA 899 Doctoral Dissertation
Research (1-8 credits)
Engineering,
Mechanical (ENME)
ENME 400 Machine Design (3
credits)
Senior standing.
Working stresses, stress concentration, stress
analysis and repeated loadings. Design of
machine elements. Kinematics of mechanisms.
ENME 408 Selected Topics in
Engineering Design (3 credits)
Prerequisite: senior standing in mechanical
engineering or permission of department.
Repeatable to 6 credits if content differs.
Creativity and innovation in design.
Generalized performance analysis, reliability
and optimization as applied to the design of
components and engineering systems. Use of
computers in design of multivariable systems.
ENME 410 Design Optimization
(3 credits)
Introductory overview of single-objective
optimization concepts, models and techniques
with continuous variables. A semester-long
project and applications of MATLAB and
Excel for some of the homework and project
assignments (among others) will be included.
ENME 414 Computer-Aided
Design (3 credits)
Prerequisite: MATH241 or equivalent.
Introduction to computer graphics. Plotting
and drawing with computer software.
Principles of writing interactive software. The
applications of computer graphics in
computer-aided design. Computer-aided
design project.
ENME 423 Building Cooling
Heating and Power Systems (3
credits)
Prerequisite: ENME232 and ENME332.
Introduction to the evaluation of cooling,
heating and power requirements of buildings.
Description, design and evaluation of state-of-
the-art and emerging integrated cooling,
heating and power systems (engines, micro-
turbines, absorption and desiccant systems) as
they are applied to buildings. The course uses
the Chesapeake building facility and the
campus cogeneration facility as real-life
demonstration examples.
ENME 426 Production
Management (3 credits)
Credit will be granted for only one of the
following: BMGT385, ENME426 or
ENME489J. Formerly ENME489J.
The basic concepts and models needed to
understand and design manufacturing systems,
including the history of manufacturing,
performance measures, queuing systems,
variability, production planning and
scheduling, lean manufacturing, and pull
production control.
ENME 430 Fundamentals of
Nuclear Reactor Engineering (3
credits)
Prerequisite: MATH246 and permission of
department. Credit will be granted for only
one of the following: ENME430 or
ENME489N. Formerly ENME489N.
Fundamental aspects of nuclear physics and
nuclear engineering, including nuclear
interactions; various types of radiation and
their effects on materials and humans; and
basic reactor physics topics, including
simplified theory of reactor critically.
ENME 431 Nuclear Reactor
Systems and Safety (3 credits)
Prerequisite: ENME430 and MATH246;
and permission of department. Also offered
as ENNU465. Credit will be granted for
only one of the following: ENNU465 and
ENME431.
Engineering, material and thermal aspects of
light water reactors, fast reactors, high
temperature gas reactors, heavy water
moderated reactors, breeder reactors, advanced
reactors including GEN IV designs. Evolution
of light water reactor safety and regulation in
the US that has culminated in the current body
of regulations.
ENME 432 Reactor and
Radiation Measurements
Laboratory (3 credits)
Prerequisite: ENME430 and MATH246;
and permission of department. Also offered
as ENNU440. Credit will be granted for
only one of the following: ENNU440 or
ENME432.
Basics concepts of nuclear radiation and
radiation detectors including types of
radiation, radioactive decay, and interactions
of radiation with matter.
ENME 454 Vehicle Dynamics (3
credits)
Formerly ENME489V.
The fundamentals of passenger vehicle and
light truck design and vehicle dynamics are
covered. The engineering principles associated
with acceleration, braking, handling, ride
quality, aerodynamics, and the mechanics are
discussed, as well as suspension and steering
design.
ENME 461 Control Systems
Laboratory (3 credits)
Two hours of lecture and three hours of
laboratory per week. Prerequisite:
ENME351, ENME361, and permission of
department. Credit will be granted for only
one of the following: ENEE461,
ENME461, or ENME489N. Formerly
ENME489N.
Students will design, implement, and test
controllers for a variety of systems. This will
enhance their understanding of feedback
control familiarize them with the
characteristics and limitations of real control
devices. Students will also complete a small
project. This will entail writing a proposal,
purchasing parts for their controller, building
the system, testing it, and writing a final report
describing what they have done.
ENME 462 Vibrations, Controls,
and Optimization II (3 credits)
Two hours of lecture and two hours of
discussion/recitation per week.
Prerequisites: ENME351 andENME361.
Formerly ENME362.
Continuation of ENME 361. Fundamentals of
vibration, controls, and optimization. Analysis
and design in time, Laplace and frequency
domains. Mathematical descriptions of system
response, system stability, control and
optimization. Optimal design of mechanical
systems.
ENME 470 Finite Element
Analysis (3 credits)
Senior standing.
415
Basic concepts of the theory of the finite
element method. Applications in solid
mechanics and heat transfer.
ENME 472 Integrated Product
and Process Development (3
credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
ENME371.
Integration of product development with the
development process. Design strategies.
Product architecture. Design for
manufacturing. Selection of materials. Design
for assembly.
ENME 473 Mechanical Design of
Electronic Systems (3 credits)
Prerequisites: ENME310; and ENME360;
andENME321.
Design considerations in the packaging of
electronic systems. Production of circuit
boards and design of electronic assemblies.
Vibration, shock, fatigue and thermal
considerations.
ENME 474 Design in Electronic
Product Development (3
credits)
Prerequisite: ENME473.
Merges technology, analysis, and design
concepts into a single focused activity that
results in the completed design of an electronic
product. A set of product requirements are
obtained from an industry partner, the students
create a specification for the product, iterate
the specification with the industry partner,
then design and analyze the product. Students
will get hands-on experience using real design
implementation tools for requirements capture,
tradeoff analysis, scheduling, physical design
and verification. Issues associated with
transferring of the design to manufacturing
and selection of manufacturing facilities will
also be addressed.
ENME 476
Mircoelectromechanical
Systems (MEMS) I (3 credits)
Three hours of lecture and one hour of
laboratory per week. Senior standing.
Credit will be granted for only one of the
following: ENME476 or ENME489F.
Formerly ENME489F.
Fundamentals of microe lee tro mechanical
systems (MEMS). Introduction to transducers
and markets. MEMS fabrication processes and
materials, including bulk micromachining, wet
etching, dry etching, surface micromachining,
sacrificial layers, film deposition, bonding,
and non-traditional micromachining.
Introduction to the relevant solid state physics,
including crystal lattices, band structure,
semiconductors, and doping. The laboratory
covers safety, photolithography, profilometry,
wet etching.
ENME 477
Microelectromechanical
Systems (MEMS) II (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
ENME476.
Fabrication of devices designed in MEMS I,
including everything from mask printing
through training on state-of-the-art fabrication
equipment through device testing. In-depth
understanding of MEMS devices and
technologies, such as mechanical and
electromagnetic transducers, microfluidics,
and chemical sensors.
ENME 488 Special Problems (3
credits)
Prerequisite: permission of department.
Advanced problems in mechanical engineering
with special emphasis on mathematical and
experimental methods.
ENME 489 Special Topics in
Mechanical Engineering (3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits with permission of
advisor.
Selected topics of current importance in
mechanical engineering.
ENME 490 Mechanical
Engineering Honors Seminar (1
credits)
Prerequisite: Permission of the Mechanical
Engineering Honors Program. For ENME
majors only.
New trends and technologies in Mechanical
Engineering.
ENME 600 Engineering Design
Methods (3 credits)
Prerequisite: Graduate Standing or
permission of instructor. 3 semester hours.
Not open to students who have completed
ENME 808F during Spring 1999 semester
or the Fall 1996 semester..
An introductory graduate level course in
critical thinking about formal methods for
design in Mechanical Engineering. Course
participants gain background on these methods
and the creative potential each offers to
designers. Participants will formulate, present,
and discuss their own opinions on the value
and appropriate use of design materials for
mechanical engineering.
ENME 601 Manufacturing
Systems Design and Control (3
credits)
Modeling and analysis techniques needed to
design and control manufacturing systems.
Deterministic and stochastic models, including
discrete-ev ent simulation and queueing
systems. Applications of modeling and
analysis.
ENME 602 MEMS Device
Physics and Design (3 credits)
Science, design, and device physics of
micrmachined sensors and actuators.
Transduction mechanisms, scaling laws, and
microscale physicsof MEMS components.
ENME 603 Advanced
Mechanisms and Robot
Manipulators (3 credits)
Prerequisite: working knowledge of
kinematics, statics and dynamics.
Analysis of spatial mechanisms and robot
manipulators. The kinematic and dynamic
analysis of multi-degree -of- freedom
mechanical systems are studied in detail. The
main emphasis is on open-loop manipulators.
Other mechanical systems such as closed-loop
linkages, epicyclic gear drives, wrist
mechanisms and tendon-driven robotic hands
are covered.
ENME 605 Advanced Systems
Control (3 credits)
Prerequisite: ENME 403 or permission of
instructor.
Modern control theory for both continuous and
discrete systems. State space representation is
reviewed and the concepts of controllability
and observability are discussed. Design
methods of deterministic observers are
presented and optimal control theory is
formulated. Control techniques for modifying
system characteristics are discussed.
ENME 610 Engineering
Optimization (3 credits)
Prerequisite: Graduate Standing or
permission of instructor. 3 semester hours.
Overview of applied single- and multi-
objective optimization and decision making
concepts and techniques with applications in
engineering design and/or manufacturing
problems. Topics include formulation
examples, concepts, optimality conditions,
unconstrained/constrained methods, and post-
optimality sensitivity analysis. Students are
expected to work on a semester-long real-
world multi-objective engineering project.
ENME 611 Geometric Modeling
for CAD/CAM Applications (3
credits)
This course introduces the underlying concepts
behind three dimensional (3D) geometric
modeling systems for curves, surfaces and
solid bodies. This course will cover (1)
geometric representation of three dimensional
solid objects, (2) curve and surface
representation, (3) geometric algorithms for
curves, surfaces, and solids, and (4) real-world
applicationsof geometric modeling. Advanced
topics such as feature recognition, cut ter path
generation for numberical control machining,
collision detection in robot path planning, and
STEP standard for product data representation
will also be introduced.
ENME 616 Computer-Aided
Manufacturing (3 credits)
Prerequisite: ENME 412 or permission of
instructor.
The latest trends in the automation of
manufacturing processes, with particular
emphasis on the use of computers in
controlling manufacturing processes. Topics
covered are on-line process monitoring,
control of machining processes, automated
material handling and process planning.
ENME 625 Multidisciplinary
Optimization (3 credits)
Prerequisite: Graduate Standing or
permission of instructor.
Overview of single- and multi-level design
optimization concepts and techniques with
emphasis on multidisciplinary engineering
design problems. Topics include single and
multilevel optimality conditions, hierarchic
and nonhierarchic modes and multilevel post
optimality sensitivity analysis. Students are
expected to work on a semester-long project.
ENME 627 Manufacturing with
Polymers (3 credits)
Prerequisite: ENME 412 or permission of
instructor.
The basic engineering approach for the
processing of modern polymers and the key
properties of polymers for processing. Topics
include morphology and structure of polymers,
characterization of mixtures and mixing,
elementary steps in polymer processing, screw
extrusion and computer-aided engineering in
injection molding.
ENME 631 Advanced
Conduction and Radiation Heat
Transfer (3 credits)
416
Prerequisites: {ENME 315; and ENME
321; and ENME 700 or equivalent} or
permission of instructor.
Theory of conduction and radiation. Diffused
and directional, poly- and mono-chromatic
sources. Quantitative optics. Radiation in
enclosures. Participating media.
Integrodifferential equations.
Multidimensional, transient and steady-state
conduction. Phase change. Coordinate system
transformations.
ENME 632 Advanced
Convection Heat Transfer (3
credits)
Prerequisites: {ENME 315; and ENME
321; and ENME 342; and ENME 343} or
permission of instructor. Also offered as
ENNU 615. Credit will be granted for only
one of the following: ENNU 615 or ENME
632.
Statement of conservation of mass, momentum
and energy. Laminar and turbulent heat
transfer in ducts, separated flows, and natural
convection. Heat and mass transfer in laminar
boundary layers. Nucleate boiling, film
boiling, Leidenfrost transition and critical heat
flux. Interfacial phase change processes;
evaporation, condensation, industrial
applications such as cooling towers,
condensers. Heat exchangers design.
ENME 633 Molecular
Thermodynamics (3 credits)
Prerequisite: permission of department.
Also offered as ENNU 625.
An examination of the interactions between
molecules, which govern thermodynamics
relevant to engineering, will be conducted. We
will investigate both classical and statistical
approaches to thermodynamics for
understanding topics such as phase change,
wetting of surfaces, chemical reactions,
adsorption, and electrochemical processes.
Statistical approaches and molecular
simulation tools will be studied to understand
how molecular analysis can be translated to
macroscopic phenomena.
ENME 635 Energy Systems
Analysis (3 credits)
Prerequisites: ENME 633 or equivalent or
permission of instructor.
Rankine cycles with nonzeotropic working
fluid mixtures, two-multi-, and variable stage
absorption cycles and vapor compression
cycles with solution circuits. Power generation
cycles with working fluid mixtures.
Development of rules for finding all possible
cycles suiting a given application or the
selection of the best alternative.
ENME 640 Fundamentals of
Fluid Mechanics (3 credits)
Prerequisite: Partial differential equations
at the level of MATH 462 or permission of
department. Formerly ENME651.
Equations governing the conservation of mass,
momentum, vorticity and energy in fluid
flows. Low Reynolds number flows. Boundary
layers. The equations are illustrated by
analyzing a number of simple flows. Emphasis
is placed on physical understanding to
facilitate the study of advanced topics in fluid
mechanics.
ENME 641 Viscous Flow (3
credits)
Prerequisite: ENME 640 or equivalent or
permission of instructor. Formerly
ENME652.
Fluid flows where viscous effects play a
significant role. Examples of steady and
unsteady flows with exact solutions to the
Navier-Stokes equations. Boundary layer
theory. Stability of laminar flows and their
transition to turbulence.
ENME 642 Hydrodynamics I (3
credits)
Prerequisite: ENME 640 or equivalent or
permission of instructor. Formerly
ENME653.
Exposition of classical and current methods
used in analysis of inviscid, incompressible
flows.
ENME 644 Fundamentals of
Acoustics (3 credits)
Prerequisite: ENME 360 or equivalent.
This course will cover the fundamental
principles of acoustics allowing the students to
go on to more advanced course in acoustics,
sauch as Underwater Sound Propagation,
Active Noise Control, or Radiation and
Scattering from Elastic Structures.
ENME 646 Computational Fluid
Dynamics (3 credits)
Prerequisite: Graduate-level fluid
mechanics, or permission of department.
Fundamentals of numerical analysis of
engineers. Inversion of large, sparse matrices.
Numerical solution of the incompressible
Navier-Stokes equations in Cartesian and
curvilinear grids. Application to turbulent
flows and micro-fluidics.
ENME 647 Multiphase Flow and
Heat Transfer (3 credits)
Prerequisites: (ENME 321; and ENME 342
or equivalent) or permission of the
instructor.
Boiling and condensation in stationary
systems, phase change heat transfer
phenomenology, analysis and correlations.
Fundamentals of two-phase flow natural
circulation in thermal hydraulic multi-loop
systems with applications to nuclear reactors
safety. Multiphase flow fundamentals. Critical
flow rates. Convective boiling and
condensation. Multiphase flow and heat
transfer applications in power and process
industries.
ENME 656 Physics of Turbulent
Flow (3 credits)
Prerequisite: ENME 640 or equivalent; or
permission of instructor.
Definition of turbulence and its physical
manifestations. Statistical methods and the
transport equations for turbulence quantities.
Laboratory measurement and computer
simulation methods. Isotropic turbulence.
Physics of turbulent shear flows.
ENME 657 Analysis of Turbulent
Flow (3 credits)
Prerequisites: {ENME 640; and ENME 641
or equivalent} or permission of instructor.
Mathematical representation of turbulent
transport, production and dissipation. Closure
schemes for predicting flows. Recent advances
in direct and large eddy numerical simulation
techniques.
ENME 661 Dynamic Behavior of
Materials and Structures (3
credits)
Response of materials and structures to
dynamic loading events. Topics include:
theory of wave propagation; plane waves,
wave guides, dispersion relations; shock
waves, equations of state; dynamic
deformation mechanisms adiabatic shear
banding, dynamic fracture. Computational
methods for modeling the dynamic responses
of structures will also be addressed.
ENME 662 Linear Vibrations (3
credits)
Prerequisite: ENME 360 or equivalent or
permission of instructor.
Development of equations governing small
oscillations and spatially continuous systems.
Newton's equations, Hamilton's principle, and
Lagrange's equations. Free and forced
vibrations of mechanical systems. Modal
analysis. Finite element discretization and
reductions of continuous systems. Numerical
methods. Random vibrations.
ENME 664 Dynamics (3 credits)
Prerequisite: ENES 221 or equivalent or
permission of instructor.
Kinematics in plane and space; Dynamics of
particle, system of particles, and rigid bodies.
Holonomic and non-holonomic constraints.
Newton's equations, DAlembert's principle,
Hamilton's principle, and equations of
Lagrange. Impact and collisions. Stability of
equilibria.
ENME 665 Advanced Topics in
Vibrations (3 credits)
Prerequisite: ENME 662 or permission of
instructor.
Nonlinear oscillations and dynamics of
mechanical and structural systems. Classical
methods, geometrical, computational and
analytical methods. Birfurcations of
equilibrium and periodic solutions; chaos.
ENME 667 Turbulence
Simulations (3 credits)
Credit will be granted for only one of the
following: ENME667 orENME808Q.
Formerly ENME808Q.
The objective is to teach students the role and
limitations of numerical methods for the
solution of turbulent flows. Emphasis will be
placed on the development of best practices to
validate the numerical results. Applications to
incompressible, compressible and reacting
flows will be discussed.
ENME 670 Continuum
Mechanics (3 credits)
Mechanics of deformable bodies, finite
deformation and strain measures, kinematics
of continua and global and local balance laws.
Thermodynamics of continua, first and second
laws. Introduction to constitutive theory for
elastic solids, viscous fluids and memory
dependent materials. Examples of exact
solutions for linear and hyper elastic solids and
Stokesian fluids.
ENME 672 Composite Materials
(3 credits)
Micromechanics of advanced composites with
passive and active reinforcements,
mathematical models and engineering
implications, effective properties and damage
mechanics, recent advances in "adaptive" or
"smart" composites.
ENME 673 Energy and
Variational Methods in Applied
Mechanics (3 credits)
Application of variational principles to
mechanics. Includes virtual work, potential
energy, strain energy, Castigliano's
generalized complementary energy, and the
principles of Hellinger-Reissner and Hamilton.
417
Legendre transforms and the foundations of
the calculus of variations. Singularities and
stability in a potential energy function.
Applications to rigid, linear and non-linear
elastic, and nonconservative examples.
Approximation techniques such as Ritz,
Petrov-Galerkin, least-squares, etc. Presents
the basis for the finite element method.
ENME 674 Finite Element
Methods (3 credits)
Theory and application of finite element
methods for mechanical engineering problems
such as stress analysis, thermal and fluid flow
analysis, electro -magnetic field analysis and
coupled boundary-value problems for "smart"
or "adaptive" structure applications, stochastic
finite element methods.
ENME 677 Elasticity of
Advanced Materials and
Structures (3 credits)
Prerequisite: MATH 462 or equivalent.
Review of field equations and constitutive
laws for linear elasticity, linearized boundary
value problems; two-dimensional problems,
biharmonic equation, Airy's stress function,
Neou's method, plane stress and plane strain
analysis, Torsion and flexure, inverse and
semi-inverse methods, Saint-Venant's
principle, thermoelastic problems; three
dimensional problems, Kelvins's solution, the
Boussinesq and Cerruti problems, Hertzian
contact; energy methods; wave propagation;
applications to advanced materials and
structures (e.g., smart structures,
multifunctional and functionally graded
materials).
ENME 678 Fracture Mechanics
(3 credits)
An advanced treatment of fracture mechanics
covering in detail the analysis concepts for
determining the stress intensity factors for
various types of cracks. Advanced
experimental methods for evaluation of
materials or structures for fracture toughness.
Analysis of moving cracks and the statistical
analysis of fracture strength. Finally,
illustrative fracture control plans are treated to
show the engineering applications of fracture
mechanics.
ENME 680 Experimental
Mechanics (3 credits)
Prerequisite: undergraduate course in
instrumentation or equivalent.
Advanced methods of measurement in solid
and fluid mechanics. Scientific photography,
moire, photoelasticity, strain gages,
interferometry, holography, speckle, ndt
techniques, shock and vibration, and laser
anemometry.
ENME 684 Modeling Material
Behavior (3 credits)
Prerequisite: ENME 670 or permission of
instructor.
Constitutive equations for the response of
solids to loads, heat, etc. based on the balance
laws, frame invariance, and the application of
thermodynamics to solids. Non-linear
elasticity with heat conduction and dissipation.
Linear and non-linear non-isothermal
viscoelasticity with the elastic-viscoelastic
correspondence principle. Classical plasticity
and current viscoplasticity using internal state
variables. Maxwell equal areas rule, phase
change, and metastability and stability of
equilibrium states. Boundary value problems.
Introduction to current research areas.
ENME 690 Mechanical
Fundamentals of Electronic
Systems (3 credits)
An understanding of the fundamental
mechanical principles used in design of
electronic devices and their integration into
electronic systems will be provided. Focus
will be placed on the effect of materials
compatibility, thermal stress, mechanical
stress, and environmental exposure on product
performance, durability and cost. Both
electronic devices and package assemblies will
be considered. Analysis of package assemblies
to understand thermal and mechanical stress
effects will be emphasized through student
projects.
ENME 693 High Density
Electronic Assemblies and
Interconnects (3 credits)
This course presents the mechanical
fundamentals needed to address reliability
issues in high-density electronic assemblies.
Potential failure sites and the potential failure
mechanisms are discussed for electronic
interconnects at all packaging levels from the
die to electronic boxes, with special emphasis
on thermomechanical durability issues in
surface mount interconnects. Models are
presented to relate interconnect degradation &
aging to loss of electrical performance. Design
methods topreve nt failures within the life
cycle are developed.
ENME 695 Failure Mechanisms
and Reliability (3 credits)
This course will present classical reliability
concepts and definitions based on statistical
analysis of observed failure distributions.
Techniques to improve reliability, based on the
study of root-cause failure mechanisms, will
be presented; based on knowledge of the life-
cycle loadprofile, product architecture and
material properties. Techniques toprev ent
operational failures through robust design and
manufacturing practices will be discussed.
Students will gain the fundamentals and skills
in the field of reliability as it directly pertains
to the designand the manufacture of electrical,
mechanical, andelectomechanical products.
ENME 700 Advanced
Mechanical Engineering
Analysis I (3 credits)
An advanced, unified approach to the solution
of mechanical engineering problems, emphasis
is on the formulation and solution of
equilibrium, eigenvalue and propagation
problems. Review and extension of
undergraduate material in applied mathematics
with emphasis on problems in heat transfer,
vibrations, fluid flow and stress analysis which
may be formulated and solved by classical
procedures.
ENME 704 Active Vibration
Control (3 credits)
Prerequisite: ENME 662, ENME 602 or
equivalent. Recommended: Vibrations and
Control. 3 semester hours. For ENGR
majors only.
This course aims at introducing the basic
principles of the finite element method and
applying it to plain beams and beams treated
with piezoelectric actuators & sensors. The
basic concepts of structural parameter i
dentification are presented with emphasis on
Eigensystem Realization Algorithms (ERA)
and Auto-regression models (AR). Different
active control algorithms are then applied to
beams/piezo-actuator systems. Among thes e
algorithms are: direct velocity feedback,
impedancematchingcontrol, modal control
methods & sliding mode controllers. Particular
focus is given to feedforward Leat Mean
Square (LMS) algorithm & filtered-X LMS. O
ptimal placement strategies of sensor &
actuators are then introduced & applied to
beam/piezo-actuator systems.
ENME 705 Non-Newtonian Fluid
Dynamics (3 credits)
Prerequisite: ENME 342 and ENME 640.
This course offers the specific techniques and
understanding necessary for being able to
compute and understand issues associated with
non-newtonian fluid dynamics. Issues of
rhealogy and analytic techniquesare cov ered.
ENME 706 Sustainable Energy
Conversion and the
Environment (3 credits)
Prerequisite: ENME633.
The major sources and end-uses of energy in
our society including the sources and end-uses
that are expected to become important in the
near term are reviewed. Renewable energy
sources are highlighted with a focus on
projections for a sustainable energy future. An
overview of the major energy flows and the
environmental issues associated with
production and end-use. Further, it introduces
a range of innovation technologies and
discusses them in the context of the current
energy infrastructure. These include fuel cells,
hybrid cars, advanced nuclear reactor designs,
combined cycle power plants and major
renewable sources such as wind, sun and
hydro, and geothermal power. Particular
attention is being paid to the consideration of
the environmental impact of the various forms
of energy.
ENME 707 Combustion and
Reacting Flow (3 credits)
Prerequisite: ENME 320, ENME 331,
ENME 332 or equivalent.
This course covers thermochemistry and
chemical kinetics of reacting flows in depth. In
particular, we focus on the combustion of
hydrocarbonf uels in both a phenomenological
and mechanistic approach. The course co vers
the specifics of premixed and nonpremixed
flame systems, as wellasignition and
extinction. Combustion modeling with
equilibrium and chemical kinetic methods will
be addressed. Environmental impact and
emissi ons minimization will be covered in
detail. Finally, the course will co ver available
combustion diagnostic methods and their
application in laboratory and real-world
systems.
ENME 710 Applied Finite
Elements (3 credits)
Prerequisites: ENME 331 and ENME 332.
For ENME, ENAE, or ENCE majors only.
Application of finite element methods to the
solution of engineering problems - such as
stress analysis, thermal conductivity, fluid
flow anlaysis, electro -magnetic field analysis
and coupled boundary value problems.
Emphasis is on the application of the
techniques to the solution of pr oblems. Basic
theory is covered at beginning of course.
ENME 711 Vibration Damping (3
credits)
Prerequisite: ENME 662 or equivalent.
Recommended: Vibration. 3 semester
hours. For ENGR majors only.
This course aims at introducing the different
418
damping models that describe the behavior of
viscoelastic materials. Emphasis will be
placedon m odeling the dynamics of simple
structures (beams, plates &. shells) with
Passive Constrained Layer Damping (PCLD).
Considerations will also be g iven to other
types of surface treatments such as Magnetic
Constrained Layer Damping (MCLD),
Shunted Network Constrained Layer Damping
(SNCLD), Active Constrained Layer Damping
(ACLD) and Electrorheological Constrained
Layer Damping (ECLD). Energy dissipation
characteristics of the damp ing treatments will
be presented analytically & by using the modal
strain energy approach as applied to finite
element models of vibrating structures.
ENME 712 Measurement,
Instrumentation and Data
Analysis for Thermo-Fluid
Processes (3 credits)
This course is designed to offer systemic
coverage of the methodologies for
measurement and data analysis of thermal and
fluid processes at the graduate level. The
course materials will cover three broad
categories: (1) Fundamentals of thermal and
fluid processes in single phase and multi phase
flows as relates to this course; Measurement
and Instrumentation techniques for
measurement of basic quantities such as
pressure, temperature, flow rate, heat flux,
etc., and (3) Experimental Design and
Planning, sources of errors in measurements,
and uncertainty analysis.
ENME 765 Thermal Issues in
Electronic Systems (3 credits)
Prerequisite: ENME 232, ENME 331,
ENME 332. Corequisite: ENME 473 or
equivalent.
This course addresses a range of thermal
issues associated with electronic products life
cycle. Computational modeling approaches for
various levels of system hierarchy. Advanced
thermal management concepts including:
single phase and phase change liquid
immersion, heat pipes, and thermoelectrics.
ENME 770 Life Cycle Cost and
System Sustainment Analysis (3
credits)
This course melds elements of traditional
engineering economics with manufacturing
process and sustainment modeling, and life
cycle cost management concepts to form a
practical foundation for predicting the cost of
products and systems. Various manufacturing
cost analysis methods will be presented
including: process-flow, parametric, cost of
ownership, and activity based costing. The
effects of learning curves, data uncertainty,
test and rework processes, and defects will be
considered. Aspects of system sustainment
including the impact on the life cycle (and life
cycle costs) of reliability, maintenance,
environmental impact, and obsolscence will be
treated.
ENME 775 Manufacturing
Technologies for Electronic
Systems (3 credits)
Prerequisite: ENME 690.
This highly multi-disciplinary course presents
the mechanical fundamentals of manufacturing
processes used in electronics assemblies. The
emphasis is on quantitative modeling of the
intrinsic impact that processing has on
structure, properties, performance and
durability. Students will learn how to
quantitatively model many of the key
manufacturing steps from mechanistic first
principles, so that sensitivity studies and
process optimization can be performed in a
precise manner. Processes considered include:
wafer-level processes such as polishing,
lithography, etching and dicing; packaging
operations such as die attachment,
wirebonding, flip chip bonding, and plastic
encapsulation; multilevel high-density
substrate fabrication processes; assembly
processes such as reflow and wave soldering
of surface-mount components to electronic
substrates.
ENME 780 Mechanical Design of
High Temperature and High
Power Electronics (3 credits)
Prerequisite: ENME 220, ENME 382,
ENME 473, or ENME 690.
This course will discuss issues related to
silicon power device selection (IGBT, MCT,
GTO, etc.), the characteristics of silicon device
operation at temperatures greater thatn 125C,
and the advantages of devices based on SOI
and SiC. It will also discuss passive
components and packaging materials selection
for distributing and controlling power,
focusing on the critical limitations to use of
many passive components and packaging
materials at elevated temperatures. In addition
it will cover packaging techniques and
analysis to minimize the temperature elevation
caused by power dissipation. Finally, models
for failure mechanisms in high temperature
and high power electronics will be presented
together with a discussion of design options to
mitigate their occurrence.
ENME 785 Experimental
Characterization of Micro- and
Nanoscale Structures (3
credits)
Two hours of lecture and three hours of
laboratory per week. Prerequisite: ENME
690.
This course teaches various methodologies for
characterization of macro to nano-scale
structures. The specific areas included: (1)
advanced failure analysis, (2) characterization
of material properties, and (3) quantitative
stress analysis. The students will learn the
basic principles of the methods and will
develop skills for research investigations by
participting in student projects.
ENME 788 Seminar (1-3 credits)
Prerequisite: graduate standing in
mechanical engineering.
First or second semester. Credit in accordance
with work outlined by mechanical engineering
staff.
ENME 799 Master's Thesis
Research (1-6 credits)
ENME 808 Advanced Topics in
Mechanical Engineering (2-3
credits)
ENME 898 Pre-Candidacy
Research (1-8 credits)
ENME 899 Doctoral Dissertation
Research (1-8 credits)
Engineering, Nuclear
(ENNU)
ENNU 440 Nuclear Technology
Laboratory (3 credits)
One hour of lecture and four hours of
laboratory per week. Prerequisites:
MATH240; and PHYS270/271.
Techniques of detecting and making
measurements of nuclear or high energy
radiation. Radiation safety experiments. Both a
subcritical reactor and the swimming pool
critical reactor are sources of radiation.
ENNU 455 Nuclear Reactor
Engineering II (3 credits)
Prerequisite: ENNU450.
General plant design considerations including
radiation hazards and health physics, shielding
design, nuclear power economics, radiation
effects on reactor materials, and various types
of nuclear reactor systems.
ENNU 465 Nuclear Reactor
Systems Analysis (3 credits)
Prerequisites: MATH246; and
PHY270/271; and ENNU455; or
permission of both department and
instructor.
Power reactor (BWR,PWR,HTGR) system
design and analysis. System specifications and
modes of operation. Plant documentation
(PSAR,FSAR, etc.). Piping and
instrumentation drawings. Theory and
application of pump and piping calculations.
Steam power plant cycles and calculations.
Steam plant equipment (turbines, heaters,
condensers, etc.) analysis.
ENNU 468 Research (2-3
credits)
Prerequisite: permission of both department
and instructor. Repeatable to 6 credits.
Investigation of a research project under the
direction of one of the staff members.
Comprehensive reports are required.
ENNU 480 Reactor Core Design
(3 credits)
Prerequisite: ENNU450 or permission of
both department and instructor.
Design of nuclear reactor cores based on a
sequence of standard computer codes. Thermal
and epithermal cross sections, multigroup
diffusion theory in one and two dimensions
and fine structure flux calculations using
transport theory.
ENNU 485 Nuclear Reactor
Thermalhydraulics (3 credits)
Prerequisites: ENNU465, ENME321 and
ENME342 or equivalent.
Thermalhydraulic response of nuclear power
plant systems. Accident analysis and impact of
emergency systems. Boiling phenomena,
nucleate boiling, critical heat flux,
condensation. Containment thermalhydraulic
analysis. Overview of principal
thermalhydraulic computer codes.
ENNU 489 Special Topics in
Nuclear Engineering (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Selected topics of current importance in
nuclear engineering.
ENNU 490 Nuclear Fuel and
Power Management (3 credits)
Prerequisites: ENNU460 and ENNU480; or
permission of both department and
instructor.
Physics and economics of the nuclear fuel
cycle utilizing existing design codes. Mining,
conversion, enrichment, fabrication,
reprocessing processes. Effects of plutonium
recycle, in-core shuffling, fuel mechanical
design and power peaking on fuel cycle costs.
419
ENNU 495 Nuclear Engineering
Systems Design (3 credits)
Two hours of lecture and three hours of
laboratory per week. Prerequisites:
ENNU455 and ENNU480 and Senior
standing in nuclear engineering.
Senior capstone design course. Major design
experience that emphasizes putting student's
engineering knowledge into practice. Design
topic is one of current interest in nuclear
engineering. Design methodology, creativity,
feasibility, reliability, and economic analyses
of the overall design required. Students work
in teams, and present oral and written design
reports.
ENNU 609 Seminar in Nuclear
Engineering (1 credits)
ENNU 615 Transport
Phenomena in Solids and
Single-Phase Media (3 credits)
Also offered as ENME 632.
Momentum transport-viscosity, laminar flow,
isothermal system equations, transient and
multidimensional analysis, axisymmetric
laminar flows, turbulent flows, phase
transport; Energy transport-mechanisms,
temperature distributions, nonisothermal
system equations, microscale heat transfer,
turbulent flow, phase transport; Mass
transport-mechanisms, concentration
distributions, diffusion, interphase transport.
ENNU 620 Mathematical
Techniques for Engineering
Analysis and Modeling (3
credits)
Also offered as ENRE 620.
Probability and probability distributions;
statistics; ordinary differential equations;
linear algebra and vectors; Laplace transform;
Fourier analysis; boundary value problems;
series solutions to differential equations;
partial differential equations; numerical
methods.
ENNU 633 Convective Transport
Phenomena in Single- and Multi-
Phase Systems (3 credits)
Prerequisite: ENNU 63 1 .
Single medium - single phase systems, two-
phase systems; Two media - solid-fluid
systems: continuous interface, large interfacial
area, fluid-fluid systems; Three media - solid-
solid-fluid systems, solid- liquid-gas systems.
ENNU 643 Radiation Processing
in Advanced Manufacturing (3
credits)
Prerequisite: ENNU 641.
Radiation processing facilities for industrial
production - electron beam, gamma, x-ray;
types of electron beam machines; radiation
processing - yields, G-values, throughput,
efficiency; readiation in advanced
manufacturing; radiation sensors and
dosimetry; sterilization of industrial products;
radiation-physical technology.
ENNU 648 Special Problems in
Nuclear Engineering (1-16
credits)
ENNU 649 Selected Topics in
Nuclear Engineering (1-3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Topics of current interest in nuclear
engineering.
ENNU 651 Risk and
Performance Based
Technologies (3 credits)
Prerequisite: ENNU 605, ENNU 620,
ENNU 625. Also offered as ENRE 670.
Why study risk, sources of risk, probabilistic
risk assessment procedure, factors affecting
risk acceptance, statistical risk acceptance
analysis, psychometric risk acceptance,
perception of risk, comparison or risks,
consequence analysis, risk benefit assessment.
Risk analysis performed for light water
reactors, chemical industry, and dams. Class
projects on risk management concepts.
ENNU 652 Principles of
Reliability Analysis (3 credits)
Prerequisite: ENNU 651. Also offered as
ENRE 602.
Principal methods of reliability analysis,
including fault tree and reliability block
diagrams; Failure Mode and Effects Analysis
(FMEA); event tree construction and
evaluation; reliability data collection and
analysis; methods of modeling systems for
reliability analysis. Focus on problems related
to process industries, fossil-fueled power plant
availability, and other systems of concern to
engineers.
ENNU 653 Mechanical reliability
of Materials (3 credits)
Prerequisite: ENNU 651.
Introduction to engineering materials; atomic
structure; diffusion; defects; phase equilibria;
kinetics and microstructures; deformations;
fracture; materials testing; fatigue and creep;
thermal properties; failure mechanisms;
fractography; failure modeling.
ENNU 655 Radiation
Engineering (3 credits)
Prerequisite: permission of both department
and instructor.
An analysis of such radiation applications as
synthesizing chemicals, preserving foods,
control of industrial processes, design of
irradiation installations. E.G., Cobalt 60
gamma ray sources, electronuclear machine
arrangement, and chemonuclear reactors.
ENNU 799 Master's Thesis
Research (1-6 credits)
ENNU 898 Pre-Candidacy
Research (1-8 credits)
ENNU 899 Doctoral Dissertation
Research (1-8 credits)
Engineering,
Professional Masters
(ENPM)
ENPM 489 Special Topics in
Engineering (1-6 credits)
Repeatable to 12 credits if content differs.
Special topics selected by the faculty for
students in the Professional Master of
Engineering Program.
ENPM 600 Probability and
Stochastic Processes for
Engineers (3 credits)
Prerequisite: undergraduate introduction to
discrete and continuous probability.
Axioms of probability; conditional probability
and Bayes' rule; random variables, probability
distributions and densities; functions of
random variables; definition of stochastic
process; stationary processes, correlation
functions, and power spectral densities;
stochastic processes and linear systems;
estimation and optimum filtering. Applications
in communication and control systems, signal
processing, and detection and estimation.
ENPM 601 Analog and Digital
Communication Systems (3
credits)
Prerequisite: ENPM 600 or equivalent.
Analog modulation methods including AM,
DSBSC-AM, SSB, and QAM; effects of noise
in analog modulation systems. Digital
communication methods for the infinite
bandwidth additive white Gaussian noise
channel: PAM, QAM, PSK, FSK modulation;
optimum receivers using the MAP principle;
phase- locked loops; error probabilities.
Digital communication over bandlimited
channels: intersymbol interference and
Nyquist's criterion, adaptive equalizers,
symbol clock and carrier recovery systems,
trellis coding. Spread spectrum systems: direct
sequence modulation and frequency hopping.
ENPM 602 Data Networks (3
credits)
Prerequisite: ENEE 324 or equivalent.
Principles of network design, circuit switching
and packet switching, OSI Reference Model;
parity and cyclic redundancy check codes;
retransmission request protocols; Markov
chains and queuing models for delay analysis;
multiaccess communication, local area
networks, Ethernet and Token Ring standards;
routing, flow control, internetworking; higher
layer functions and protocols. Software tools
for network simulation and performance
analysis will be used.
ENPM 603 Theory and
Applications of Digital Signal
Processing (3 credits)
Prerequisite: undergraduate introduction to
discrete-time systems.
Uniform sampling and the sampling theorem;
the Z-transform and discrete-time system
analysis; multi-rate systems; discrete -time
random processes; methods for designing FIR
and IIR digital filters; effects of quantization
and finite work-length; the DFT and FFT;
power spectrum estimation.
ENPM 604 Wireless
Communication Networks (3
credits)
Prerequisites: ENEE 420 and ENEE 426.
Issues in the design and analysis of wireless
communication systems. Aspects of radio
propagation, signal strength, multipath
propagation, fading, diversity reception, cell
shapes. Modulation and coding for the mobile
radio channel including FDMA, TDMA, and
CDMA. Multiaccess issues including
frequency allocation, channel reuse, and power
control. System level issues including traffic
engineering, blocking, network design and
optimization, channel allocation control,
handoffs, mobility management, registration
and tracking, signaling and user location
database management. Examples of existing
analog and emerging digital cellular standards.
ENPM 605 Information Theory
and Coding (3 credits)
Prerequisite: A course in probability and
some knowledge of random processes.
This course will study communication systems
from a mathematical viewpoint and with the
framework set up by Claude Shannon in 1948.
This is achieved by viewing the information
420
being communicated and also the noise and
other disturbances in a communication system
as stochastic processes and phenomenas.
Information theory then shows, through a
number of elegant coding theorems, the
optimum performance that can be achieved
with any communication system
ENPM 606 Linear Control
Systems (3 credits)
Introduction to control engineering; including
simulation and modeling, linear systems
theory, specifications, structures and
limitations, feedback system stability in terms
of loop gain, classical design, and state
feedback.
ENPM 607 Computer System
Design and Architecture (3
credits)
Prerequisite: ENEE 446 or equivalent.
Principles of computer design and
cost/performance factors; instruction set
design and implementation, RISC vs. CISC
instruction sets; control unit and pipeline
design; floating-point arithmetic; memory
hierarchy designs, caches, memory
interleaving, virtual memory; I/O device
interconnections to CPUs and main memory.
Additional topics include parallel system
designs, SIMD. MIMD, SPMD;
interconnection networks for processors and
memories; optimization of pipeline operations;
superscalar architectures, power management
techniques.
ENPM 609 Microprocessor-
Based Design (3 credits)
Prerequisites: undergraduate logic design,
computer architecture, and programming
courses.
Introduction to microprocessor components,
software, and tools. Architectures, instruction
sets, and assembly language programming for
a commercial microprocessor family. Real-
time programming techniques. Peripheral
chips such as, parallel ports, counter-timers,
DMA controllers, interrupt controllers, and
serial communication units. Design projects
emphasizing intergrated hardware and
software solutions to engineering problems.
ENPM 610 Digital VLSI Design (3
credits)
Prerequisite: undergraduate courses in solid
state devices and digital/analog circuit
design.
VLSI design with emphasis on CMOS
technology. Logic functions using CMOS
switches; MOSFET characteristics; BiCMOS,
dynamic logic and domino logic structures;
PLA's, FPLA's, and gate arrays; layout via
MAGIC, use of VHDL, IRSIM, and Spice;
design rules and verification techniques;
packaging techniques; chip design options:
standard cells, sea-of-gates, full custom;
design capture and verification tools; design of
CMOS datapaths, memory, and control;
possible fabrication via MOSIS.
ENPM 611 Software Engineering
(3 credits)
Prerequisite: competency in one
programming language. Credit will be
granted for only one of the following:
ENPM 61 1 or ENPM 808G. Formerly
ENPM808G.
Software engineering concepts, methods, and
practices important to both the theorist and the
practitioner will be covered. The entire range
of responsibilities expected of a software
engineer are presented. The fundamental areas
of requirements development, software design,
programming languages, and testing are
covered extensively. Sessions on supporting
areas such as systems engineering, project
management, and software estimation are also
included.
ENPM 612 System and Software
Requirements (3 credits)
Prerequisite: ENPM61 1. Credit will be
granted for only one of the following:
ENPM612 or ENPM808K. Formerly
ENPM808K.
Focus will be placed on the theoretical and
practical aspects of requirements development.
Students will recognize the place of
requirements, how to work with users,
requirements methods and techniques, the
various requirements types, how to set
requirements development schedules,
requirements evolution, how to model and
prototype requirements, how to evaluate and
manage risk in requirements, techniques to test
requirements, how to manage the requirements
process, and how to write an effective
requirements document.
ENPM 613 Software Design &
Implementation (3 credits)
Prerequisite: An undergraduate software
course, knowledge of C or C++
Programming. Credit will be granted for
only one of the following: ENPM608 or
ENPM613. Formerly ENPM608.
Software design concepts and practices within
the field important to both the practitioner and
the theorist will be covered. Architectural and
detailed designs are included for batch,
client/server, and real-time systems. Design
considerations for structured, object-oriented,
and Web-based systems are covered. Design
of databases, user interfaces, forms, and
reports are also included. Implementation
issues that affect the design, including error
handling, performance, and inter-process
communication, are presented.
ENPM 614 Software Testing &
Maintenence (3 credits)
Aspects of software development after coding
is completed will be covered. Students will
understand the various levels of testing,
techniques for creating test data, how to
manage test cases and scenarios, testing
strategies and methods, testing batch,
client/server, real-time, and Internet systems,
and the development of an effective test plan.
Software maintenance will include the creation
of easily maintained software; preventive
maintenance, corrective maintenance, and
enhancements; configuration management
practices; and assuring quality in software
maninte nance.
ENPM 620 Computer Aided
Engineering Analysis (3 credits)
Prerequisite: permission of department.
Computer assisted approach to the solution of
engineering problems. Review and extension
of undergraduate material in applied
mathematics including linear algebra, vector
calculus, differential equations, and
probability and statistics.
ENPM 621 Heat Pump and
Refrigeration Systems Design
Analysis (3 credits)
Prerequisites: ENME 315 and ENME 321.
Thermal engineering of heat pump and
refrigeration systems and thermal systems
modeling. Thermodynamics and heat transfer.
Cycle analysis, alternative refrigerants,
graphical analysis using property charts.
Analysis of applications such as space
conditioning, food perservation,
manufacturing, heat recovery and
cogeneration.
ENPM 622 Engergy Conversion I
- Stationary Power (3 credits)
Prerequisite: undergraduate
thermodynamics and heat transfer.
Thermal engineering of modern power
generation systems. Cycle analysis of various
modern power generation technologies
including gas turbine, combined cycle, waste
burning and cogeneration. Energy storage and
energy transport.
ENPM 623 Control of
Combustion Generated Air
Pollution (3 credits)
Prerequisites: ENME 315 and ENME 321
or equivalent.
Analysis of the sources and mechanisms of
combustion generated air pollution. Air
pollution due to internal combustion engines,
power generation and industrial emissions.
Techniques to minimize and control emission.
Acid rain, ozone, plume analysis, scrubbing,
filtering.
ENPM 624 Renewable Energy
Applications (3 credits)
Prerequisite: permission of department.
Thermodynamics and heat transfer of
renewable energy sources for heating, power
generation and transportation. Wind energy,
solar thermal, photovoltaic, biomass, waste
burning, and hydropower. Broad overview of
the growing use of renewable energy sources
in the world economy with detailed analysis of
specific applications.
ENPM 625 Heating, Ventilation
and Air Conditioning of
Buildings (3 credits)
Prerequisite: ENME 321 or equivalent.
Low pressure side of buildings heating and
cooling systems. Thermodynamics, heat
transfer and digital control principles applied
to field problems. Quantitative analyses
stressed. Topics include psycho me tries,
thermal loads, incompressible flow in ducts
and pipes, heat exchangers, cooling towers,
PID control systems.
ENPM 626 Thermal Destructive
Technology (3 credits)
Prerequisites: ENME 315 and ENME 321.
Thermal destruction, incineration and
combustion processes. Emphasis on solid
wastes and their composition, current and
advanced destruction technologies, guidelines
on design and operation, and environmental
pollution.
ENPM 627 Environmental Risk
Analysis (3 credits)
The fundamental methodology for analyzing
environmental risk is described with examples
for selected applications. Key elements of the
environmental risk methodology include: (1)
source term and release characterization, (2)
migration of contaminants in various media,
(3) exposure assessment, (4) dose-response
evaluation, (5) risk characterization, and (6)
risk management. Also included will be an
introduction to uncertainty analysis and
environmental laws and regulations. It is
intended to provide students with the basic
skills and knowledge needed to manage,
421
evaluate, or perform environmental risk
assessments and risk analyses.
ENPM 633 Aquatic Chemistry
Concepts (3 credits)
Prerequisite: ENCE 433 or permission of
department & instructor.
Development of the theoretical basis for
understanding the chemical behavior of
aquatic systems, with an emphasis on problem
solving. Principles of inorganic and physical
chemistry applied to quantitative description
of processes in natural waters:
Thermodynamic and kinetic aspects of
electrolyte solutions, carbon dioxide/carbonate
systems; dissolution and precipitation,
metalligand complexes, and
oxidation/reduction.
ENPM 634 Indoor Air Quality
Engineering (3 credits)
Fundamentals of building ventilation;
ventilation and indoor environmental
measurement; indoor contaminants and mass
balance; ASHRAE standards; indoor
environmental quality; building design;
psychro me tries and HVAC system design.
ENPM 635 Thermal Systems
Design Analysis (3 credits)
Prerequisite: Undergraduate
thermodynamics, fluid mchanics, heat
transfer.
Evaluates the trade-offs associted with thermal
systems. Use of software for system
simulation, evaluation and optimization.
Applications include power and refrigeration
systems, electronics cooling, distillation
columns, de-humidifying coils, and co-
generation systems.
ENPM 636 Unit Operations of
Environmental Engineering (3
credits)
Prerequisite: ENCE 315 or permission of
department.
Properties and quality criteria of drinking
water as related to health are interpreted by a
chemical and biological approach. Legal
aspects of water use and handling are
considered. Theory and application of
aeration, sedimentation, filtration,
centrifugation, desalinization, corrosion and
corrosion control are among topics to be
considered.
ENPM 637 Biological Principles
of Environmental Engineering (3
credits)
Prerequisite: permission of department.
An examination of biological principles
directly affecting society and the environment,
with particular emphasis on microbiological
interactions in environmental engineering
related to air, water and land systems;
microbiology and biochemistry of aerobic and
anaerobic treatment processes for aqueous
wastes.
ENPM 641 Systems Concepts,
Issues, and Processes (3
credits)
Prerequisite: permission of department. 3
semester hours. Also offered as ENSE621.
Credit will be granted for only one of the
following: ENPM641 orENSE621.
An introduction to the professional and
academic aspects of systems engineering.
Topics include: systems engineering activities,
opportunities and drivers; case studies of
systems failures; models of system lifecycle
development; introduction to model-based
systems engineering; representations for
system structure, system behavior, system
interfaces and systems intergration; reactive
(even-driven) systems, systems-of-systems,
measures of system complexity; visual
modeling of engineering systems with UML
and SySML; simplified procedures for
engineering optimization and tradeoff analysis.
Software tools for visual modeling of systems
with UML and SySML. Students will
complete a project for the front-end
development of an engineering system using
ULM/SySML.
ENPM 642 Systems
Requirements, Design and
Trade-Off Analysis (3 credits)
Prerequisite: ENPM641/ENSE621 or
permission of department. Also offered as
ENSE622. Credit will be granted for only
one of the following: ENPM642,
ENSE602, orENSE622.
This course builds on material covered in
ENSE621/ENPM641, emphasizing the topics
of requirements engineering, system-level
design and trade-off analysis. Topics include:
requirements engineering processes;
representation and organization of
requirements; implementation and applications
of traceability; capabilities of commercial
requirements; engineering software; system-
level design; design structure matrices;
principles of modular design; component- and
interface -based design methods; multi-
objective optimization-based design and
tradeoff; approaches to system redesign in
response to changes in requirements,
reliability, trade-off analysis, and optimization-
based design. Students will complete a project
focussing on the development of requirements
and their traceability to the system-level
design of an engineering system.
ENPM 643 Systems Projects,
Validation and Verification (3
credits)
Prerequisite: ENPM642/ENSE622 and
permission of department. Also offered as
ENSE623. Credit will be granted for only
one of the following: ENPM642 or
ENSE623.
This course builds on material covered in
ENSE621/ENPM641 and
ENSE622/ENPM642. Topics wil cover
established and emerging approaches to
system validation and verification including;
inspection, testing, and traceability; writing
validation and verification plans; formal
approaches to sytem validation and
verification; specification -based testing; role
of logic in system validation and verification;
automation models of computation, timed
automation; model-based design and model
checking for reactive systems. Students will be
introduced to software tools for specification-
based testing, model-based testing, model-
based design and model checking. Students
will work in teams on semester-long projects
in systems engineering design and formal
approaches to system validation and
verification.
ENPM 644 Human Factors in
Systems Engineering (3 credits)
Prerequisite: permission of department.
Also offered as ENSE624. Credit will be
granted for only one of the following:
ENPM644orENSE624.
This course covers the general principles of
human factors, or ergonomics as it is
sometimes called. Human Factors (HF) is an
interdisciplinary approach for dealing with
issues related to people in systems. It focuses
on consideration of the characteristics of
human beings in the design of systems and
devices of all kinds. It is concerned with the
assignment of appropriate functions for
humans and machines, whether the people
serve as operators, maintainers, or users of the
system or device. The goal of HF is to achieve
compatibility in the design of interactive
systems of people, machines, and
environments to ensure their effectiveness,
safety and ease of use.
ENPM 646 System Life Cycle
Cost Analysis and Risk
Management (3 credits)
Prerequisite: permission of department.
Also offered as ENSE626. Credit will be
granted for only one of the following:
ENPM646 or ENSE626.
This course covers topics related to estimating
the costs and risks incurred through the
lifetimes of projects, products and systems. In
addition, treatment is given to methods that
determine the drivers of costs and risks and
facilitate determination of the most effective
alternatives to reducing them. Relevant
analytic tools from probability and statistics
and important managerial and organizational
concepts. Extensive use is made of case
studies from industry andgovernment.
ENPM 647 Systems Quality and
Robustness Analysis (3 credits)
Prerequisite: permission of department.
Also offered as ENSE627. Credit will be
granted for only one of the following:
ENPM647orENSE627.
This course covers systems engineering
approaches for creating optimal and robust
engineering systems and for quality assurance.
It provides an overview of the important tools
for quality analysis and quality management of
engineering systems. These tools are
commonly used in companies and
organizations. Focus is placed on the Baldrige
National Quality Program, ISO 9000
certification, six-sigma systems, and Deming
total quality management to examine how high
quality standards are sustained and customer
requirements and satisfactions are ensured.
The Taguchi method for robust analysis and
design is covered and applied to case studies.
Issues of flexible design over the system life
cycle are addressed. Statistical process control,
international standards for sampling, and
design experimentation are also studied.
ENPM 651 Heat Transfer for
Modern Application (3 credits)
Credit will be granted for only one of the
following: ENPM651 or ENPM808P.
Formerly ENPM808P.
The applications selected will vary widely:
from cooling of electronics to prevention of
fog and stalagmite formation in ice rinks.
Multi-mode (i.e. simultaneous conduction,
convection, radiation, mass transfer) problems
will be emphasized. Lectures on basic
principles, followed by assignments in which
students formulate solutions and explain
results.
ENPM 652 Applied Finite
Element Methods (3 credits)
Credit will be granted for only one of the
following: ENPM652 or ENPM808F.
Formerly ENPM808F.
422
For engineering and science students with
little or no previous knowledge of the FEM.
Study of FEM, using straightforward
mathematics. Students should understand
basic concepts and equations of elasticity and
thermal heat flow, be familiar with simple
matrix algebra. Covers stress analysis and
thermal analysis problems. ANSYS finite
element code will be used for examples and
homework solutions. Basic problem solving
procedure will be developed for using finite
element computer codes.
ENPM 653 Environmental Law
for Engineers and Scientists (3
credits)
Provide engineers and scientists with a general
understanding of the U.S. legal system and
key aspects of environmental law. Many
engineers and scientists today find that
environmental regulatory issues are
components of their professional work. This
course will familiarize them with the major
federal environmental statutes and regulations
and some of the compliance issues they may
face. The topics of engineers and scientists
serving as expert witnesses in lawsuits,
preparation of environmental and expert
reports, and how technical information is used
in the courtroom will also be discussed.
ENPM 654 Energy Systems
Management (3 credits)
Formerly ENPM808E.
Covers a wide range of energy management
and energy efficiency topics including energy
auditing, energy efficient lighting systems and
motors, demand limiting and control, control
strategies for optimization, direct digital
control, integrated building automation
systems, communication networks, distributed
generation, cogeneration, combined heat and
power, process energy management and the
associated economic analyses. Included will
be the latest internet based technologies for
accessing real-time energy pricing and
managing energy demand remotely for
multiple buildings or campuses.
ENPM 655 Contaminant
Transport and Fate in the
Environment (3 credits)
Prerequisite: Basic chemistry, physics and
mathematics, including some calculus;
knowledge of organic chemistry will be
helpful. Formerly ENPM808I.
Introduces the physics and chemistry of
contaminant migration in various
environmental media, including surface water,
groundwater, and air. The characteristics of
each of these environmental media will be
described; then, based on the unique aspects of
each medium, the physical, chemical, and
biological processes controlling transport in
each will be presented. An interdisciplinary
approach integrates principles of engineering
and natural science to provide both the
scientific basis and the quantitative description
of contaminant migration, with focus on
application of intuitively-based models. Topics
include: nature of environmental media,
fundamental principles of mass transport, and
chemical transformation in various media.
Fundamental principles of chemistry, physics,
and chemical engineering will be used to
derive and apply simple models describing
physiochemical transformations of
contaminants and their transfer from one
medium to another. This course intends to
provide students with the basic skills and
knowledge needed to manage, evaluate, and/or
perform contaminant fate and transport
analyses.
ENPM 656 Energy Conversion II
~ Mobile Power (3 credits)
Credit will be granted for only one of the
following: ENPM656 or ENPM808G.
Formerly ENPM808G.
Presents the scientific and engineering basis
for design, manufacture, and operation of
thermal conversion technologies utilized for
mobility power generation. The interface
between fuel combustion chemistry and
generated power are addressed. The practical
aspects of design and operation of various
alternatives for power are compared. The
impact of choices with regard to power and
fuel alternatives as well as air pollution
potential are also considered.
ENPM 657 Sustainable Use of
Resources and Minimization of
Wastes (3 credits)
Three hours of lecture per week. Credit will
be granted for only one of the following:
ENPM657 or ENPM808R (as offered in
Fall 2008, Summer 2005, Spring 2007).
Formerly ENPM808R.
Introduction to material use and flow
concepts; recycling of nonmetallics;
sustainability and industrial ecology; life cycle
environmental assessments and models;
municipal solid waste; case studies and plant
visit.
ENPM 663 Introduction to
Kinetics of Reactions in
Materials (3 credits)
Prerequisite: ENMA 461.
The thermodynamics of solid solutions, free
energy and phase diagrams, thermodynamics
of interfaces, concepts of kinetics are
introduced. Diffusion in solids, nucleation
kinetics and kinetics of composition invariant
solid-solid interface migration are reviewed.
The growth of phases and cellular segregation
are also introduced.
ENPM 664 Chemical and
Biological Detection (3 credits)
Credit will be granted for only one of the
following: ENPM664 orENPM808B.
Formerly ENPM808B.
Introduction to hardware (instrumentation) and
software (data analysis algorithm) aspects of
chemical and biological detection. Physical
measurements, chemical sensors, biosensors,
optical sensor components, signal conditioning
and analysis, chemometrics, image analysis,
applications.
ENPM 665 Building Control
Systems (3 credits)
Credit will be granted for only one of the
following: ENPM665 or ENPM808F.
Formerly ENPM808F.
Focuses on providing guidance and expertise
to engineers who are designing control
equipment and systems for building heating,
ventilating and air-conditioning (HVAC)
systems. It will also cover issues related to
control system commissioning, fault detection
and diagnoses and optimization. The
implementation of direct digital control
systems and building networks will be
addressed, along with issues related to indoor
air quality and environmental performance.
ENPM 666 Groundwater
Hydrology and Pollution Control
(3 credits)
Credit will be granted for only one of the
following: ENPM666 or ENPM808B.
Formerly ENPM808B.
A study of factors affecting groundwater
systems including theories and mechanisms
governing the groundwater movement and
groundwater quality, with particular emphasis
on groundwater hydrology and groundwater
quality protection in maintaining a sustainable
groundwater resource.
ENPM 671 Advanced Mechanics
of Materials (3 credits)
Credit will be granted for only one of the
following: ENPM808M orENPM671.
Formerly ENPM808M.
Formulate and quantitatively state the
mechanical/physical responses of structural
components and configurations subjected to
loads, temperature, pre-strains etc. The two
methods of anlysis employed are the
mechanics of materials approach and the
theory of elasticity approach. Analysis and
design of components of structural/ machine
systems as experienced in aeronautical, civil,
mechanical and nuclear engineering.
ENPM 675 Operating System
Design (3 credits)
Prerequisite: Undergraduate coursework in
computer organization and assembly
language programming. Credit will be
granted for only one of the following:
ENPM675 or ENPM808O. Formerly
ENPM808O.
An overview that covers process management
(processes and threads, process scheduling,
and process synchronization and
communication), memory management (main
and virtual), storage management (file and
I/O), and protection and security.
ENPM 676 VLSI Testing and
Design for Testability (3 credits)
Prerequisite: ENEE244 or equivalent.
Credit will be granted for only one of the
following: ENPM676 or ENPM808R.
Formerly ENPM808R.
An overview of VLSI test process and
equipment, faults, fault modeling, fault
simulation, combinational logi ATPG,
sequential logic ATPG, Iddq testing, function
testing, memory testing, delay testing, design
for testability, BIST (Built-in Self-Test) and
boundary scan.
ENPM 677 Wireless Sensor
Networks (3 credits)
Credit will be granted for only one of the
following: ENPM677 or ENPM808I.
Formerly ENPM808I.
Focuses on networking aspects, protocols and
architectures for Wireless Sensor Networks.
Provides a thorough description of the most
important issues and questions that have to be
addressed in a wireless sensor neto work.
ENPM 680 Aquatic Chemical
Kinetics (3 credits)
Prerequisite: permission of instructor.
The objective is to strengthen the
understanding of reaction mechanisms and
specific reaction rates in natural and
engineered water system (fresh water,
atmospheric water, porous water and ocean).
The class will also introduce innovative
researches developed in water technology.
ENPM 681 Shockwave Physics I
(3 credits)
Credit will be granted for only one of the
423
following: ENPM681 orENPM8008V.
Formerly ENPM808V.
Covers the history of Shock Wave Physics
becoming a scientific discipline, conservation
equations for one-dimensional plane steady
shocks, Eulerian coordinate system, wave
stability conditions, impedance matching
technique for design and analysis of
experiments, select group of experimental
techniques, experimental error analysis,
thermodynamics of shock waves including use
of consistent equations of state, derivation of
plane one dimensional differential
conservation laws, and uniqueness of steady
wave profiles.
ENPM 682 Shockwave Physics II
(3 credits)
Prerequisite: ENPM681. Credit will be
granted for only one of the following:
ENPM682 or ENPM808D. Formerly
ENPM808D.
Elastic-plastic solids, phase transitions, porous
solids, materials with time-dependent
properties, detonation waves in Ideal
explosives, detonation waves in cylinders of
non-ideal explosives, shock initiation of high
explosives, experimental techniques for
measuring detonation wave properties,
Lagrangian coordinate system, ramp wave and
raditiaton loading of material.
ENPM 683 Chemistry of
Energetic Materials (3 credits)
Prerequisite: A background in general
chemistry is strongly desired. Credit will be
granted for only one of the following:
ENPM683 or ENPM808Q. Formerly
ENPM808Q.
An introduction to the chemistry of energetic
materials (explosives, propellants, and
pyrotechnics), organized by traditional
functional groups. Primary and secondary
explosives, including the properities and
syntheses of nitrate esters, nitramines, and
nitro compounds, as well as other energetic
compunds will be discussed. Other topics
discussed are: crystallization, polymorphism,
sensitivity, and various explosive and
propellant compositions.
ENPM 690 Fundamentals of
Technology Startup Ventures (3
credits)
Credit will be granted for only one of the
following: ENPM690 or ENPM808A.
Formerly ENPM808A.
Students will learn the basics of
entrepreneurs hip including the various types of
entrepreneurship; what makes the difference
between success and failure; the importance of
networking to obtain advice without letting
others know about the idea and how to create
success from almost nothing. Students will
also be led through an exercise to determine
the strength of their learnings toward
entreprene urship .
ENPM 691 Strategies for
Managing Innovation (3 credits)
Credit will be granted for only one of the
following: ENPM691 orENPM808Z.
Formerly ENPM808Z.
Explores how innovation in organizations
operates as a process, how marketplace affects
it, how to identify innovation opportunities,
how individuals find innovative roles in their
organization, how managers foster innovation
in their organization and how innovation plays
a pait in an organization's overall strategy.
ENPM 692 Innovative Thinking
(3 credits)
Credit will be granted for only one of the
following: ENPM692 or ENPM808E.
Formerly ENPM808E.
Introduces students to new and powerful tools
to boost their creative problem solving skills.
Participantss re-discover their communication
and teaming skills. Students unlock their
creativity potential, and explore win-win
approaches to define and solve problems of
different kinds. Students are also introduced to
topics related to intellectual property.
ENPM 808 Advanced Topics in
Engineering (1-3 credits)
Advanced topics selected by the faculty for
students in the professional master of
engineering program. May be taken for
repeated credit when identified by topic title.
Engineering and
Public Policy (ENPP)
ENPP 610 MEPP Capstone (3
credits)
Prerequisite: Permission of MEPP
Program; completion of or co-registration
ofENCE611.
MEPP Students, in the last year of their
program, will learn case study methods of
analysis as they relate to issues of engineering
and public policy, through case studies on
pressing issues in areas such as environment,
national security, biotechnology, energy,
infrastructure, development and
manufacturing. The course will begin by
review of case study methods and analysis.
This will be followed by addressing case
studies selected by the instructor.
ENPP 611 MEPP Scholarly
Practicum (3 credits)
Prerequisite: Practicum proposal must be
approved by MEPP.
The scholarly practicum internship for MEPP
students is intended to educate students in how
engineering and public policy is practised in
their MEPP specialization. The internship
must last a minimum of 400 hours, and must
be completed by the submission and approval
of progress and completion reports. By
undertaking the internship midway through the
student's MEPP studies, the student can make
use of MEPP studies completed, and use the
experience in selection of subsequent MEPP
course to maximize the educational
opportunities of the MEPP program.
Reliability
Engineering (ENRE)
ENRE 445 Applied Reliability
Engineering I (3 credits)
Prerequisite: MATH246, PHYS270/271; or
permission of instructor. Credit will be
granted for only one of the following:
ENRE445 or ENRE489C. Formerly
ENRE489C.
Topics covered include: fundamental
understanding of how things fail, probabilistic
models to represent failure phenomena, life-
models for non-repairable items, reliability
data collection and analysis and applicable
quality techniques. Distribution functions such
as the normal, Weibull, exponential, binomial,
and gamma are explored.
ENRE 446 Applied Reliability
Engineering II (3 credits)
Prerequisite: MATH246, PHYS270/271; or
permission of instructor. Credit will be
granted for only one of the following:
ENRE446 or ENRE489D. Formerly
ENRE489D.
Topics covered include: System modeling and
analysis, designing for reliability, reliability
testing, reliability in manufacturing, and
reliability management. Fault tree analysis,
RBD, and cut sets are covered along with
sneak circuits, time-on-test plots and
acceptance testing.
ENRE 447 Fundamentals of
Reliability Engineering (3
credits)
Credit will be granted for only one of the
following: ENRE445 orENRE447.
Formerly ENRE445.
Topics covered include: fundamental
understanding of how things fail, probabilistic
models to represent failure phenomena, life-
models for non-repairable items, reliability
data collection and analysis, software
reliability models, and human reliability
models.
ENRE 489 Special Topics in
Reliability Engineering (3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Selected topics of current importance in
reliability engineering.
ENRE 600 Reliability
Engineering (3 credits)
Prerequisite: ENRE 620.
Organization, management and
communication concepts in reliablity
engineering. Mechanisms and physics of
failure, methods for failure-rate determination.
Methods of design for reliability and
maintainability. Life cycle costing and
equipment sparing policies. Measuring
reliability for improvement.
ENRE 601 Fundamentals of
Failure Mechanisms (3 credits)
Prerequisite: ENRE 620. For ENRE majors
only.
Introduces students to basic principles of
Reliability Engineering and Reliability
Physics. The approach is to provide a general
tool set by which engineers can understand
how to consider reliability in all phases of the
design and manufacture of a product. The
emphasis is on integrating statistics and
probability with understanding the
fundamental physics of processes that lead to
failures.
ENRE 602 Reliability Analysis (3
credits)
Prerequisite: ENRE620.
Principal methods of reliability analysis,
including fault tree and reliability block
diagrams; Failure Mode and Effects Analysis
(FMEA); event tree construction and
evaluation; reliability data collection and
analysis; methods of modeling systems for
reliability analysis. Focus on problems related
to process industries, fossil-fueled power plant
availability, and other systems of concern to
engineers.
ENRE 607 Reliability
Engineering Seminar (1 credits)
Topics of current interest, emphasizing the
latest techniques and developments. Invited
speakers will be selected to provide insights
424
from the viewpoint of practitioners noted for
their expertise in various facets of industry.
Managers of reliability programs will be
included along with those who are responsible
for setting national policies and requirements.
In-depth reviews will be provided, describing
current research work underway across the
nation.
ENRE 620 Mathematical
Techniques of Reliability
Engineering (3 credits)
Also offered as ENNU 620.
Basic probability and statistics (required for
ENRE 600 and ENRE 602). Application of
selected mathematical techniques to the
analysis and solution of reliability engineering
problems. Applications of matrices, vectors,
tensors, differential equations, integral
transforms, and probability methods to a wide
range of reliability related problems.
ENRE 625 Materials Selection
and Mechanical Reliability (3
credits)
Credit will be granted for only one of the
following: ENRE 625 or ENRE 648L.
Formerly ENRE648L.
Topics include: micro structure development,
mechanical properties of metals, plymers,
ceramics, composites and semiconductors,
fracture, fatigue, creep, fractography and
failure analysis.
ENRE 640 Collection and
Analysis of Reliability Data (3
credits)
Prerequisites: ENRE 620 and ENRE 602.
Basic life model concepts. Probabilistic life
models, for components with both time
independent and time dependent loads. Data
analysis, parametric and nonparametric
estimation of basic time-to-failure
distributions. Data analysis for systems.
Accelerated life models. Repairable systems
modeling.
ENRE 641 Accelerated Testing
(3 credits)
Prerequisite: ENRE663 or permission of
department. Credit will be granted for only
one of the following: ENRE 641 or ENRE
650. Formerly ENRE650.
Models for life testing at constant stress.
Graphical and analytical methods. Test plans
for accelerated testing. Competing failure
modes and size effects. Models and data
analyses for step and time varying stresses.
Optimizing of test plans.
ENRE 642 Reliability
Engineering Management (3
credits)
Unifying systems perspective of reliability
engineering management. Design,
development and management of
organizations and reliability programs
including: management of systems evaluation
and test protocols, development of risk
management- mitigation processes, and
management of functional tasks performed by
reliability engineers.
ENRE 643 Advanced product
assurance (3 credits)
Prerequisite: ENRE 600 and ENRE 602 or
permission of department. Credit will be
granted for only one of the following:
ENRE 643 and ENRE 680. Formerly
ENRE680.
Product assurance policies, objectives, and
management. Material acquisition
management, quality control documents and
product assurance costing. Design input and
process control, advanced testing technology,
regression methods, and nondestructive
testing. Simulation techniques, CAD/CAE
methods. Software quality management,
software documentation and software testing
methods. Total quality management.
ENRE 644 Bayesian Reliability
Analysis ( credits)
Prerequisite: ENRE 602 and ENRE 655 or
permission of department. Credit will be
granted for only one of the following:
ENRE 644 or ENRE 730. Formerly
ENRE730.
Foundations of Bayesian statistical inference,
Bayesian inference in reliability, performing a
Bayesian reliability analysis, Bayesian
decision and estimation theory, prior
distribution such as non-informative,
conjugate, beta, gamma, and negative log
gamma, estimation methods basedon attribute
life test data for estimating failure rates and
survival probabilities. System reliability
assessment and methods of assigning
prior distribution. Empirical Bayes reliability
estimates (implicitly or explicitly estimated
priors).
ENRE 645 Human Reliability
Analysis (3 credits)
Prerequisite: ENRE 600 and ENRE 602 or
permission of department. Credit will be
granted for only one of the following:
ENRE 645 or ENRE 734. Formerly
ENRE734.
Methods of solving practical human reliability
problems, the THERP, SLIM, OAT and
SHARP methods, performance shaping
factors, human machine systems analysis,
distribution of human performance and
uncertainty bounds, skill levels, source of
human error probability data, examples and
case studies.
ENRE 646 Maintainability
Engineering (3 credits)
Credit will be granted for only one of the
following: ENRE 646 or ENRE 740.
Formerly ENRE740.
Role of maintainability in readiness and
profitability. Design principles, including fault
tolerant design, FMECA for maintainability,
maintainability quantification, establishing
testability requirements, establishing hardware
and software requirements and reliability
centere dmainte nance .
ENRE 648 Special Problems in
Reliability Engineering (1-6
credits)
Repeatable to 6 credits if content differs.
For students who have definite plans for
individual study of approved problems. Credit
given according to extent of work.
ENRE 653 Advanced Reliability
and Maintainability Engineering
(3 credits)
Prerequisite: ENRE 600. Credit will be
granted for only one of the following:
ENRE 653 or ENRE 663. Formerly
ENRE663.
Reliability and maintainability concepts in
conceptual, development, production and
deployment phases of industrial products.
Costing of reliability, methods of obtaining
approximate reliability estimates and
confidence limits. Methods of reliability
testing-current research and developments in
the area of reliability engineering. Modern
CAD techniques in reliability design, thermal
analysis of circuit boards, vibration analysis,
maintainability analysis and preventive
maintenance methods.
ENRE 655 Advanced Methods in
Reliability Modeling (3 credits)
Prerequisite: ENRE 602. Credit will be
granted for only one of the following:
ENRE 655 or ENRE 665. Formerly
ENRE665.
Bayesian methods and applications, estimation
of rare event frequencies, uncertainty analysis
and propagation methods, reliability analysis
of dynamic systems, analysis of dependent
failures, reliability of repairable systems,
human reliability analysis methods and theory
of logic diagrams and application to systems
reliability.
ENRE 657 Telecommunications
Systems Reliability (3 credits)
Prerequisite: ENRE 602.
Reliability perspectives in telecommunications
networks, comparison of networks with
respect to operations and reliability, network
relibility modeling techniques, applicable
procedural/human reliability models, and
network metric objectives and data collection.
ENRE 661 Microelectronics
Device Reliability (3 credits)
Prerequisite: ENRE 600. Credit will be
granted for only one of the following:
ENRE 661 or ENRE 648Q. Formerly
ENRE648Q.
An approach to continuous improvement of
reliability of semiconductor devices is
developed. Topics covered include: an
introduction to device technology, degradation
mechanisms, optoelectronic components,
power device reliability and accelerated
testing.
ENRE 662 Reliability and Quality
in Microcircuit Manufacturing (3
credits)
Credit will be granted for only one of the
following: ENRE 662 or ENRE 750.
Formerly ENRE750.
Design and materials characteristics of
microcircuits, including discrete chips,
hybrids, printed wiring boards and electronic
assemblies. Thermal design analysis. Common
failure mechanisms, including metallization
and interconnect degradation. Typical
manufacturing processes and variability
control. Design for reliability and
manufacturability.
ENRE 664 Electronic Packaging
Materials (3 credits)
Prerequisite: ENRE 620 or permission of
department. Credit will be granted for only
one of the following: ENRE 648N or
ENRE 664. Formerly ENRE648N.
Energy bands and carrier concentration, earner
transport phenomena, p-n junction, bipolar
devices, unipolar devices, crystal growth and
epitaxy, oxidation and film deposition,
diffusion and ion implantation, lithography
and etching, integrated devices,
electo migration.
ENRE 670 Probabilistic Risk
Assessment (3 credits)
Prerequisite: ENRE602. Also offered as
ENNU651. Credit will be granted for only
425
one of the following: ENNU651 or
ENRE670.
Why study risk, sources of risk, overview of
Risk Assessment and Risk Management,
relation to System Safety and Reliability
Engineering; measures, representation,
communication, and perception of risk;
overview of use of risk assessment results in
decision making; overview of Probabilistic
Risk Assessment (PRA) process; detailed
converge of PRA methods including (1)
methods for risk scenario development such as
identification of initiators, event sequence
diagrams, event trees, causal modeling (fault
trees, influence diagrams, and hybrid
methods), and simulation approaches; (2)
methods of risk scenario likelihood
assessment, including quantitative and
qualitative approaches, as well as uncertainty
modeling and analysis. Also covers methods
for risk modeling of system hardware
behavior, physical phenomena, human
behavior, software behavior, organizational
environment, and external physical
environment. Additional core topics include
risk model integration and quantification
(Boolean-based, binary decsion diagram,
Bayesian belief networks, and hybrid
methods), simulation-based Dynamic PRA
methods (discrete and continuous) and several
examples of large scale PRAs for space
missions, nuclear power, aviation and medical
systems.
ENRE 671 Risk Assessment in
Engineering (3 credits)
Prerequisite: ENRE670. Credit will be
granted for only one of the following:
ENRE648W or ENRE671. Formerly
ENRE648W.
Introduction to risk management and decision-
making, including uncertainty propagation,
importance ranking, risk acceptance criteria,
decision analysis and other decsion-making
techniques, risk communication.
ENRE 682 Software Reliability
and Integrity (3 credits)
Credit will be granted for only one of the
following: ENRE 682 or ENRE 732.
Formerly ENRE732.
Defining software reliability, initiatives and
standards on software reliability, inherent
characteristics of software which determine
reliability, types of software errors, structured
design, overview of software reliability
models, software fault tree analysis, software
redundancy, automating tools for software
reliability protypes and real time software
reliability.
ENRE 683 Software Safety (3
credits)
Credit will be granted for only one of the
following: ENRE 648M or ENRE 683.
Formerly ENRE648M.
The focus is on major software safety
standards in government and industry, the
software safety lifecycle, detailed coverage in
safety requirements-specificatioon, analysis,
modeling, designing, coding, testing and
maintenance. Also covered are hazard analysis
and design, failure modes and effects analysis,
fault tree anlaysis, designing for fault tolerance
and formal methods techniques for developing
high assurance software. A laboratory with
software tools is used.
ENRE 684 Information Security
(3 credits)
Credit will be granted for only one of the
following: ENRE 648J or ENRE 684.
Formerly ENRE648J.
This course is divided into three major
components: overview, detailed concepts and
implementation techniques. The topics to be
covered are: general security concerns and
concepts from both a technical and
management point of view, principles of
security, architectures, access control and
multi-level security, trojan horses, covert
channels, trap doors, hardware security
mechanism, security models, security kernels,
formal specifications and verification,
networks and distribution systems and risk
analysis.
ENRE 689 Special Topics in
Engineering Materials (3 credits)
ENRE 730 Bayesian Reliability
Analysis (3 credits)
Prerequisites: ENRE 470 and ENRE 462.
Foundations of Bayesian statistical inference,
Bayesian inference in reliability, performing a
Bayesian reliability analysis, Bayesian
decision and estimation theory, prior
distributions such as non- informative,
conjugate, beta, gamma, and negative log
gamma, estimation methods based on attribute
life test data for estimating failure rates and
survival probabilities. System reliability
assessment and methods of assigning prior
distribution. Empirical Bayes reliability
estimates (implicity or explicitly estimated
priors).
ENRE 734 Human Reliability
Analysis (3 credits)
Prerequisites: ENRE 470 and ENRE 462;
or permission of department. Credit will be
granted for only one of the following:
ENRE 734 or ENSE 606.
Methods of solving practical human reliability
problems, the THERP, SLIM, OAT, and
SHARP methods, performance shaping
factors, human machine systems analysis,
distribution of human performance and
uncertainty bounds, skill levels, source of
human error probability data, examples and
case studies.
ENRE 770 Life Cycle Cost and
System Sustainment Analysis (3
credits)
Also offered as ENME770. Credit will be
granted for only one of the following:
ENME770 or ENRE770.
This course melds elements of traditional
engineering economics with manufacturing
process and sustainment modeling, and life
cycle cost management concepts to form a
practical foundation for predicting the cost of
products and systems. Various manufacturing
cost analysis will be presented including:
process-flow, parametric, cost of ownership,
and activity based costing. The effects of
learning curves, data uncertainty, test and
rework processes, and defects will be
considered. Aspects of system sustainment
including the impact on the life cycle (and life
cycle costs) of reliability, maintenance,
environment impact, and obsolescence will be
treated.
ENRE 798 Master's Non-Thesis
Research (1-6 credits)
ENRE 799 Master's Thesis
Research (1-6 credits)
ENRE 898 Pre-Candidacy
Research (1-8 credits)
ENRE 899 Doctoral Dissertation
Research (1-8 credits)
Systems
Engineering (ENSE)
ENSE 621 Systems Concepts,
Issues, and Processes (3
credits)
Prerequisite: permission of department. 3
semester hours. Also offered as ENPM641.
Credit will be granted for only one of the
following: ENPM641 orENSE621.
An introduction to the professional and
academic aspects of systems engineering.
Topics include: systems engineering activities,
opportunities and drivers; case studies of
systems failures; models of system lifecycle
development; introduction to model-based
systems engineering; representations for
system structure, system behavior, system
interfaces and systems intergration; reactive
(even-driven) systems, systems-of-systems,
measures of system complexity; visual
modeling of engineering systems with UML
and SySML; simplified procedures for
engineering optimization and tradeoff analysis.
Software tools for visual modeling of systems
with UML and SySML. Students will
complete a project for the front-end
development of an engineering system using
ULM/SySML.
ENSE 622 Systems
Requirements, Design and
Trade-Off Analysis (3 credits)
Prerequisite: ENPM641/ENSE621 or
permission of department. Also offered as
ENPM642. Credit will be granted for only
one of the following: ENPM642, or
ENSE622.
This course builds on material covered in
ENSE621/ENPM641, emphasizing the topics
of requirements engineering, system-level
design and trade-off analysis. Topics include:
requirements engineering processes;
representation and organization of
requirements; implementation and applications
of traceability; capabilities of commercial
requirements; engineering software; system-
level design; design structure matrices;
principles of modular design; component- and
interface -based design methods; multi-
objective optimization-based design and
tradeoff; approaches to system redesign in
response to changes in requirements,
reliability, trade-off analysis, and optimization-
based design. Students will complete a project
focussing on the development of requirements
and their traceability to the system-level
design of an engineering system.
ENSE 623 Systems Projects,
Validation and Verification (3
credits)
Prerequisite: ENPM642/ENSE622 and
permission of department. Also offered as
ENPM643. Credit will be granted for only
one of the following: ENPM643, ENSE
610 or ENSE 623.
This course builds on material covered in
ENSE621/ENPM641 and
ENSE622/ENPM642. Topics wil cover
established and emerging approaches to
system validation and verification including;
inspection, testing, and traceability; writing
validation and verification plans; formal
approaches to sytem validation and
verification; specification-based testing; role
426
of logic in system validation and verification;
automation models of computation, timed
automation; model-based design and model
checking for reactive systems. Students will be
introduced to software tools for specification-
based testing, model-based testing, model-
based design and model checking. Students
will work in teams on semester-long projects
in systems engineering design and formal
approaches to system validation and
verification.
ENSE 624 Human Factors in
Systems Engineering (3 credits)
Prerequisite: permission of department.
Also offered as ENPM644. Credit will be
granted for only one of the following:
ENPM644orENSE624.
This course covers the general principles of
human factors, or ergonomics as it is
sometimes called. Human Factors (HF) is an
interdisciplinary approach for dealing with
issues related to people in systems. It focuses
on consideration of the characteristics of
human beings in the design of systems and
devices of all kinds. It is concerned with the
assignment of appropriate functions for
humans and machines, whether the people
serve as operators, maintainers, or users of the
system or device. The goal of HF is to achieve
compatibility in the design of interactive
systems of people, machines, and
environments to ensure their effectiveness,
safety and ease of use.
ENSE 626 System Life Cycle
Analysis and Risk Management
(3 credits)
Prerequisite: permission of department.
Also offered as ENPM646.
This course covers topics related to estimating
the costs and risks incurred through the
lifetimes of projects, products and systems. In
addition, treatment is given to methods that
determine the drivers of costs and risks and
facilitate determination of the most effective
alternatives to reducing them. Also covered,
are relevant analytic tools from probability and
statistics and also important managerial and
organizational concepts. Extensive use is made
of case studies and examples from industry
and government.
ENSE 627 Systems Quality and
Robustness Analysis (3 credits)
Prerequisite: permission of department.
Also offered as ENPM647. Credit will be
granted for only one of the following:
ENPM647orENSE627.
This course covers systems engineering
approaches for creating optimal and robust
engineering systems and for quality assurance.
It provides an overview of the important tools
for quality analysis and quality management of
engineering systems. These tools are
commonly used in companies and
organizations. Focus is placed on the Baldrige
National Quality Program, ISO 9000
certification, six-sigma systems, and Deming
total quality management to examine how high
quality standards are sustained and customer
requirements and satisfactions are ensured.
The Taguchi method for robust analysis and
design is covered and applied to case studies.
Issues of flexible design over the system life
cycle are addressed. Statistical process control,
international standards for sampling, and
design experimentation are also studied.
ENSE 698 Special Topics in
Systems Engineering (3 credits)
Prerequisite: ENSE 621 and permission of
department. Repeatable to 6 credits if
content differs.
ENSE 699 Directed Study in
Systems Engineering (1-3
credits)
Prerequisite: ENSE621, ENSE622,
ENSE623; and permission of instructor. 15
semester hours. Repeatable to 03 credits if
content differs.
Directed study in Systems Engineering.
ENSE 799 Systems Engineering
Thesis (1-6 credits)
Prerequisites: ENSE 621 and 6 additional
credits totalling 9 credit hours and
permission of department. Repeatable to 6
credits.
The application of systems engineering
concepts, principles, and theories will be
applied to the Master's Thesis project.
Project/thesis work will be defined and
selected early in student's program and
supervised by a university faculty mentor.
Environmental
Science and Policy
(ENSP)
ENSP 400 Capstone in
Environmental Science and
Policy (3 credits)
Prerequisite: Senior Standing or Permission
of the Director of ENSP; ENSP101 and
102. For ENSP majors only.
Integration of physical, biological, and social
sciences with applications to environmental
science and policy. Problem-solving and
multi-disciplinary case study evaluations
pertinent to contemporary and future issues
related to the environment.
ENSP 499 Honors Thesis
Research (1-6 credits)
Prerequisite: Admission to ENSP Honors
and permission of department. Repeatable
to 6 credits.
Individual research, thesis, and oral defense.
The research project will be conducted under
the supervision of a faculty member.
Environmental
Science and
Technology (ENST)
ENST 405 Energy and
Environment (3 credits)
Prerequisite: MATH140 orMATH220.
Junior standing. Credit will be granted for
only one of the following: ENST405,
ENST605, NRMT489Z, or MEES698Z.
Formerly NRMT489Z.
Introduction to the role of energy in
environmental and human -dominated systems.
Discussion of the historical and modern
production and consumption of energy.
Introduction to energy systems computer
simulation and energy auditing.
ENST 41 1 Principles of Soil
Fertility (3 credits)
Prerequisite: ENST200 or equivalent.
Credit will be granted for only one of the
following: ENST411 orNRSC411.
Formerly NRSC411.
Soil factors affecting plant growth and quality
with emphasis on the bio-availability of
mineral nutrients. The management of soil
systems to enhance plant growth by means of
crop rotations, microbial activities, and use of
organic and inorganic amendments.
ENST 414 Soil Morphology,
Genesis and Classification (4
credits)
Three hours of lecture and three hours of
laboratory per week. Prerequisite:
ENST200 (formerly NRSC200). Credit will
be granted for only one of the following:
ENST414 or NRSC414. Formerly
NRSC414.
Processes and factors of soil genesis.
Taxonomy of soils of the world by U.S.
System. Soil morphological characteristics,
composition, classification, survey and field
trips to examine and describe soils.
ENST 417 Soil Hydrology and
Physics (3 credits)
Prerequisites: ENST200 (formerly
NRSC200) and a course in physics; or
permission of department. Credit will be
granted for only one of the following:
ENST417 or NRSC417. Formerly
NRSC417.
A study of soil water interactions: the
hydrologic cycle; the unique properties of
water and soil; the soil components and their
interactions; the field water cycle; transport
processes involving water, heat and solutes;
human effects on soil and groundwater; as
well as the measurement, prediction, and
control of the physical processes taking place
in and through the soil.
ENST 421 Soil Chemistry (4
credits)
Prerequisite: ENST200 (formerly
NRSC200). Credit will be granted for only
one of the following: ENST421 or
NRSC421. Formerly NRSC421.
The chemistry and composition of mineral and
organic colloids in soils, including ion
exchange, oxidation-reduction, acidity, surface
charge, and solution chemistry. Lectures and
readings pertain to plant nutrition, waste
disposal, and groundwater quality.
ENST 422 Soil Biochemistry and
Microbial Ecology (3 credits)
Prerequisite: ENST200 or equivalent; or a
course in microbiology or biochemistry.
Credit will be granted for only one of the
following: ENST422 or NRSC422.
Formerly NRSC422.
Study of soil biochemical and microbial
processes pertinent to nutrient cycling,
environmental quality, and agriculture. Focus
on microbial taxonomy, physiology, and
ecology in colloidal environments and natural
waters.
ENST 423 Soil-Water Pollution
(3 credits)
Prerequisites: ENST200 (formerly
NRSC200) and CHEM104; or permission
of department. Credit will be granted for
only one of the following: ENST423 or
NRSC423.
Reaction and fate of pesticides, agricultural
fertilizers, industrial and animal wastes in soil
and water with emphasis on their relation to
the environment.
ENST 424 Field Study in Soil
Morphology (4 credits)
Prerequisite: ENST200 (formerly
427
NRSC200). Credit will be granted for only
one of the following: ENST424 or
NRSC424. Formerly NRSC424.
The fundamentals of making morphological
descriptions of soils, using standard
techniques, terminology, and abbreviations of
the National Cooperative Soil Survey. Given a
regional perspective and reasonable
assumptions regarding soil properties, students
should become competent to classify soils
which they have described in the field and also
make interpretations concerning the suitability
of soils for various potential uses.
ENST 427 Nonpoint Source
Pollution Assessment
Techniques (3 credits)
Prerequisite: One course in hydrology or
permission of department. Also offered as
ENBE462. Credit will be granted for only
one of the following: ENBE462 or
ENST427.
Various techniques to measure non-point
source pollution, quantify mass transport, and
statistically evaluate water quality criteria.
Primary focus is on agriculture and water, but
urban NPS pollution is addressed.
ENST 430 Wetland Soils (3
credits)
Prerequisite: ENST200 (formerly
NRSC200). Credit will be granted for only
one of the following: ENST430, ENST630
or NRSC461. Formerly NRSC461.
The soils of wetlands including hydrology,
chemistry, and genesis are discussed. Federal
and regional guidelines for wetland soils are
covered with an emphasis on validating
interpretations through field observations.
ENST 434 Toxic Contaminants:
Sources, Fate, and Effects (3
credits)
Prerequisite: ENST333 and ENST334.
Study of the release to the environment,
transport through natural compartments,
persistence and ultimate fate of various classes
of contaminants produced as a result of human
activities. Topics will culminate in discussions
of impacts to wildlife and human health.
Students should emerge with a practical
appreciation of the actual risks from exposure
to a variety of environmental contaminants
and an understanding of the environmental and
human health implications of continuing the
contaminating activities.
ENST 436 Emerging
Environmental Threats (3
credits)
Prerequisite: ENST333 or permission of
department.
Examine new and potential environmental
concerns in the air, water, soil, space, and the
built environment. Emphasis on studying the
intrinsic links between ecosystem and human
health. Topics will include climate change,
resource consumption, biodiversity change,
infectious disease, non-traditional pollutants,
and other complex and significant
environmental concerns.
ENST 440 Crops, Soils and
Civilization (3 credits)
Credit will be granted for only one of the
following: ENST440 or NRSC440.
Formerly NRSC440.
Role and importance of crop and soil resources
in the development of human civilization.
History of crop and soil use and management
as they relate to the persistence of ancient and
modern cultures.
ENST 441 Sustainable
Agriculture (3 credits)
Credit will be granted for only one of the
following: ENST441 orNRSC441.
Formerly NRSC441.
Environmental, social and economic needs for
alternatives to the conventional, high-input
farming systems which currently predominate
in industrial countries. Strategies and practices
that minimize the use of non-renewable
resources.
ENST 443 Industrial Ecology (3
credits)
Prerequisite: MATH 140 or MATH220; and
ENTS360 or BSCI36 1 ; or permission of
department. Also offered as ENST643 and
MEES698J. Credit will be granted for only
one of the following: ENST443, ENST643,
orMEES698J.
Problems of waste management and recycling
in human societies are covered. The industrial
ecology approach to design is contrasted with
analogous patterns and processes from natural
ecosystems.
ENST 444 Restoration Ecology
(3 credits)
Prerequisite: MATH140. Credit will be
granted for only one of the following:
ENST444, NRMT489F, or NRMT444.
Formerly NRMT489F.
Discussion of the philosophies, principles, and
practices of ecosystem restoration.
Presentation of restoration case histories
include wetlands, lakes, streams, coastal
systems, mined lands, and new ecosystems.
ENST 445 Ecological Risk
Assessment (3 credits)
Prerequisite: ENST333, BSCI361, and
BIOM301 ; or permission of department.
Assessment of ecological impacts of
perturbations on natural systems. Course will
describe quantitative methods for estimating
environmental impacts by extrapolating from
laboratory and field data. The role of
regulatory agencies and implications of
scientific uncertainty on risk management will
be covered.
ENST 447 Biodiversity, Ecology,
and Human Health (3 credits)
One hour of lecture and four hours of
laboratory per week. Prerequisite:
ENST360 or BSCI361 ; or permission of
department.
An investigation of how biodiversity and
ecological processes affect human health. We
will view humans as an integral but unique
members of ecosystems whose well-being
depends on a range of complex ecological
services. Topics will include human-induced
environmental changes, species invasions,
species interactions, medicines from nature,
and infectious diseases. The lab will involve
conducting research on native and introduced
species of medical importance.
ENST 450 Wetland Ecology (3
credits)
One hour of lecture and four hours of
laboratory per week. Prerequisite:
B1OM301 or permission of department.
Also offered as ENST650 and MEES650.
Credit will be granted for only one of the
following: ENST450, NRMT450, or
MEES650. Formerly NRMT450.
Plant and animal communities,
bio geochemistry, and ecosystem properties of
wetland systems. Laboratory emphasizes
collection and analysis of field data on wetland
vegetation, soil, and hydrology.
ENST 451 Water Quality: Field
and Lab Analysis Methods (3
credits)
Two hours of lecture and three hours of
laboratory per week. Prerequisite:
{CHEM131 andCHEM132};and
{CHEM104 or CHEM231 and
CHEM232}. Credit will be granted for only
one of the following: ENBE451, ENST451,
or NRMT45 1 . Formerly NRMT45 1 .
Hands-on experience with techniques for
assessing physical, chemical, and biological
characteristics of surface waters, including
streams, lakes, and wetlands. Emphasis is
placed on understanding effects of water
quality on ecosystem structure and function.
ENST 452 Wetland Creation and
Restoration (3 credits)
Prerequisite: BSCI106; and one of the
following: BSCI362, ENST360, ENST450,
or M EES650: or permission of department.
Also offered as ENST652 and MEES652.
Credit will be granted for only one of the
following: ENST452, ENST652. or
MEES652.
Design, construction, and evaluation of
wetlands restored or created for ecosystem
enhancement or mitigation. Topics will
include ecological restoration theory, goal-
setting, practices for establishing wetland
hydrology, substrate, and vegetation, and
restored ecosystem monitoring and functional
assessment. Two mandatory Friday afternoon
field trips will be held from 1 :00-6 :00pm
(dates to be announced in class); hip length
wading boots are recommended for field trips.
ENST 460 Principles of Wildlife
Management (3 credits)
Three Saturday field trips are scheduled.
Prerequisite: Two semesters of biology
laboratory or permission of department.
Credit will be granted for only one of the
following: ENST460 or NRMT460.
Formerly NRMT460.
Ecological principles and requirements of
wildlife as basis for management, and
introduction to the scientific literature.
Conflicts in wildlife management, government
administration of wildlife resources,
legislation, and history of the wildlife
management profession.
ENST 461 Urban Wildlife
Management (3 credits)
Two lectures per week. Credit will be
granted for only one of the following:
ENST461 or NRMT461. Formerly
NRMT461.
Ecology and management of wildlife in urban
areas. For students in biological sciences,
geography, landscape design, natural resources
management, recreation and urban studies.
Planning, design, and wildlife conservation in
landscape ecology. Public attitudes,
preferences, and values, review of private
conservation organizations.
ENST 462 Field Techniques in
Wildlife Management (2 credits)
Four hours of laboratory per week.
Recommended: ENST460 and ENST461.
Credit will be granted for only one of the
428
following: ENST462, NRMT462, or
NRMT489B. Formerly NRMT462.
Hands-on experience with field techniques in
wildlife management focusing on various
methods of conducting indices, estimates, and
censuses of wildlife populations. Includes
capture and handling of amphibians, reptiles,
birds, and mammals by use of drift fences,
cover boards, mist nets, box traps, and dart
guns.
ENST 470 Natural Resources
Management (4 credits)
85 semester hours. For NRMT and ENST
majors only.
Field work and independent research on
watersheds. Intensive seminar on resource
management planning and report preparation.
ENST 479 Tropical Ecology and
Resource Management (1-6
credits)
Prerequisites: BSCI106, an introductory
economics course, and permission of
instructor. Repeatable to 10 credits if
content differs. Formerly NRMT479.
Tropical ecosystems and issues of human use
and impact. Includes lectures which lead up to
an off-campus trip in a tropical environment.
ENST 481 Ecological Design (3
credits)
Three hours of lecture and two hours of
laboratory per week. Prerequisite: One
semester of calculus; PHYS121;
CHEM131; or permission of instructor.
Credit will be granted for only one of the
following: ENST481 orENST681.
An advanced survey course on the field of
ecological design. Principles of design are
illustrated with case studies from biologically-
based waste treatment systems, ecosystem
management and sustainable development.
Concepts covered include ecology, ecological
engineering, nutrient cycling, emergy,
lifecycle analysis, and design process.
Technologies include treatment wetlands,
living machines, anaerobic digestion, rain
gardens, bioswales, bi ore mediation, algal turf
scrubbers, and green building design.
ENST 489 Field Experience (1-4
credits)
Prerequisite: permission of department.
Repeatable to 6 credits. Formerly
NRMT489.
Planned field experience for both major and
non-major students.
ENST 499 Special Topics in
Environmental Science and
Technology (1-4 credits)
Prerequisite: permission of department.
Formerly NRSC499 and NRMT499. Credit
will be granted for only one of the
following: ENST499, NRMT499, or
NRSC499.
An independent study, and/or lecture, and/or
laboratory series organized to study a selected
phase of Environmental Science and
Technology not covered by existing courses.
Credit arranged with supervising faculty
member.
ENST 602 Research Principles
and Methodology in
Environmental Scienceand
Technolgy (3 credits)
For ENST majors only.
Fundamental research strategies and methods
of investigation in Environmental Science and
Technology including field and laboratory
procedures.
ENST 605 Energy and
Environment (3 credits)
Prerequisite: MATH220 or equivalent.
Also offered as ENST405 and MEES698Z.
Credit will be granted for only one of the
following: ENST405, ENST605,
NRMT489Z, or MEES698Z. Formerly
MEES698Z.
Role of energy in environmental and human-
dominated systems and their linkage.
Discussion of the historical and modern
production and consumption of energy.
Energy systems simulation modeling, energy
analysis and energy auditing. Review of
national energy policies and proposed
alternatives.
ENST 608 Research Methods (1-
4 credits)
Prerequisite: permission of department.
Repeatable to 4 credits if content differs.
Credit will be granted for only one of the
following: ENST608 orNRSC608.
Formerly NRSC608.
Development of research viewpoint by
detailed study and report on crop and soil
research of the Maryland Agriculture
Experiment Station or review and discussion
of literature on specific agricultural problems
or new research techniques.
ENST 611 Advanced Principles
of Soil Fertility (3 credits)
Prerequisite: ENST200 or equivalent. Also
offered as ENST411. Credit will be granted
for only one of the following: ENST411,
NRSC411,orENST611.
Soil factors affecting plant growth and quality
with emphasis on the bio-availability of
mineral nutrients. The management of soil
systems to enhance plant growth by means of
crop rotations, microbial activities, and use of
organic and inorganic amendments.
ENST 615 Advanced Aternative
Energy (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: ENST305, ENST615, or
MEES615.
Analysis of various renewable endergy
technologies and their current applications.
Emphasis iwll be placed on energy
consumption, efficiency, the ease of transition
to renewable alternatives, and net energy
comparisons with fossil fuel alternatives.
Qunatification of incident solar energy is
covered in detail along with basic science and
physics concepts underlining every conversion
and carbon sequestration. Technologies
include hydroelectric, wind and wave turbines,
solar thermal conversion, photovoltaic,
hydrogen, fuel cells, geothermal, biogas, and
biofuel. In addition to attending lectures,
students will be required to attend an
additional class once a week to participate in
and lead discussions from peer-reviewed
journal articles on current research in
alternative energies.
ENST 622 Advanced Soil
Biochemistry and Microbial
Ecology (3 credits)
Three hours of lecture and one hour of
discussion/recitation per week.
Prerequisite: ENST200 or equivalent; or a
course in microbiology or biochemistry.
Credit will be granted for only one of the
following: ENST422 or ENST622.
Study of soil biochemical and microbial
processes pertinent to nutrient cycling,
environmental quality, and agriculture. Focus
on microbial taxonomy, physiology, and
ecology in colloidal environments and natural
waters; with special emphasis on the current,
multi-disciplinary literature of the field.
ENST 630 Advanced Wetland
Soils (3 credits)
Two hours of lecture, three hours of
laboratory, and one hour of
discussion/recitation per week.
Prerequisite: ENST200. Credit will be
granted for only one of the following:
ENST630, ENST403, or NRSC461.
The soils of wetlands including hydrology,
bio geochemistry, and pedogenesis, including a
focused discussion of current literature.
Federal and regional guidelines for wetland
soils are covered with an emphasis on
validating interpretations through field
observations.
ENST 643 Advanced Industrial
Ecology (3 credits)
Prerequisite: ENST360 or BSCI361
permission of department course in
Ecology, and permission of department.
Also offered as ENST443. Credit will be
granted for only one of the following:
ENST443orENST643.
Problems of waste management and recycling
in human societies are covered. The industrial
ecology approach to design is contrasted with
analogous patterns and processes from natural
ecosystems.
ENST 647 Advanced
Biodiversity, Ecology, and
Human Health (3 credits)
One hour of lecture, four hours of
laboratory, and one hour of
discussion/recitation per week.
Prerequisite: ENST360 or BSCI361; or
permission of department. Also offered as
MEES647. Credit will be granted for only
one of the following: ENST447, ENST647,
orMEES647.
An investigation of how biodiversity and
ecological processes affect human health.
Humans as integral but unique members of
ecosystems whose well-being depends on a
range of complex ecological services will be
viewed. Topics will include human-induced
environmental changes, species invasions,
species interactions, medicines from nature,
and infectious diseases. Students will lead
class discussion of peer-reviewed literature
and complete an assignment writing a research
grant proposal. The lab will involve
researching native and introduced species of
medical importance.
ENST 650 Advanced Wetland
Ecology (3 credits)
Prerequisite: BIOM301 or permission of
department. Also offered as ENST450 and
MEES650. Credit will be granted for only
one of the following: ENST450, ENST650
orMEES650.
Plant and animal communities,
bio geochemistry, and ecosystem properties of
wetland systems. Laboratory emphasizes
collection and analysis of field data on wetland
vegetation, soil, and hydrology.
429
ENST 681 Advanced Ecological
Design (3 credits)
Three hours of lecture, two hours of
laboratory, and one hour of
discussion/recitation per week.
Prerequisite: One semester of calculus;
PHYS121; CHEM131; or permission of
instructor. Credit will be granted for only
one of the following: ENST481 or
ENST681.
An advanced survey course on the field of
ecological design. Principles of design are
illustrated with case studies from biologically-
based waste treatment systems, ecosystem
management and sustainable development.
ENST 689 Special Topics (1-3
credits)
Repeatable to 6 credits if content differs.
Credit will be granted for only one of the
following: ENST689 orNRSC689.
Formerly NRSC689.
Credit according to time scheduled and
organization of the course. Organized as a
lecture series on a specialized advanced topic.
ENST 702 Environmental
Science and Technology:
Communication and Pro
fessional Development (2
credits)
For ENST major only or permission of
instructor.
Training in communication and professional
development to prepare students to succeed in
careers within the fields of environmental
science and technology. Topics will include
manuscript and technical writing, job search,
communication with academic and non-
academic audiences, multi-disciplinary
collaboration, management (project,
personnel, time), professionalism, leadership,
ethics, and career opportunities. Course
emphasizes practical training through
facilitated discussions and critique practicums.
ENST 711 Advanced Plant-Soil
Relationships (2 credits)
Credit will be granted for only one of the
following: ENST7 1 1 or NRSC7 1 1 .
Formerly NRSC711.
Integration of the biological, physical, and
chemical aspects of plant growth in soils.
ENST 722 Advanced Soil
Chemistry (3 credits)
Prerequisite: AGRO302 and permission of
both department and instructor. Credit will
be granted for only one of the following:
ENST722 or NRSC722. Formerly
NRSC722.
A continuation of AGRO 421 with emphasis
on soil chemistry of minor elements necessary
for plant growth.
ENST 761 Methods in
Pedological Investigations (4
credits)
Two hours of lecture and six hours of
laboratory per week. Prerequisite:
ENST414 (formerly NRSC414) or
permission of department. Credit will be
granted for only one of the following:
ENST761 orNRSC761. Formerly
NRSC761.
This is designed to equip students with
analytical tools for soil microfabric and
mineralogical analysis in order to understand
soil properties and processes. A number of
techniques will be discussed, but emphasis
will be placed on micro mo rp ho logy and x-ray
diffractometry. Both theoretical and applied
considerations will be convered, and students
will gain substantial hands on experience in
collecting and interpreting data germane to
their research interests.
ENST 789 Advances in
Research (1-4 credits)
Repeatable to 4 credits if content differs.
Credit will be granted for only one of the
following: ENST789 or NRSC789.
Formerly NRSC789.
A study of recent advances in agronomy
research.
ENST 798 Graduate Seminar (1
credits)
Repeatable to 6 credits. Credit will be
granted for only one of the following:
ENST798orNRSC798.
First and second semester.
ENST 799 Master's Thesis
Research (1-6 credits)
ENST 821 Advanced Methods of
Soil Investigation (3 credits)
Prerequisites: AGRO302; permission of
both department and instructor. Credit will
be granted for only one of the following:
ENST821 orNRSC821. Formerly
NRSC821.
First semester, alternate years. An advanced
study of the theory of the chemical methods of
soil investigation with emphasis on problems
involving application of physical chemistry.
ENST 831 Soil Mineralogy (4
credits)
Soil minerals, with emphasis on clay minerals,
are studied from the viewpoint of soil genesis
and physical chemistry. Mineralogical
analyses by x-ray and chemical techniques.
ENST 832 Advanced Soil
Physics (3 credits)
Prerequisites: AGR0417; and permission
of both department and instructor. Credit
will be granted for only one of the
following: ENST832 orNRSC832.
Formerly NRSC832.
An advanced study of physical properties of
soils.
ENST 898 Pre-Candidacy
Research (1-8 credits)
ENST 899 Doctoral Dissertation
Research (1-8 credits)
Entomology (ENTM)
ENTM 609 Integrated Pest
Management (1-4 credits)
Three hours of lecture and three hours of
laboratory per week. Prerequisite:
permission of instructor. Repeatable to 10
credits if content differs. Also offered as
NRSC 609. Credit will be granted for only
one of the following: ENTM 609 or NRSC
609.
A modular course with an interdisciplinary
approach to the theory and practice of
integrated pest management. Topics of
modules, each 3-4 weeks long, vary each
semester over a three year time frame, with the
first module serving as a prerequisite for all
other modules.
ENTM 612 Insect Ecology (3
credits)
Prerequisite: a course in general ecology or
permission of department.
An advanced course in population and
community ecology, plant-insect interactions,
and insect biogeography. Emphasis on current
entomological literature.
ENTM 622 Principles of
Systematic Entomology (3
credits)
Two hours of lecture and three hours of
laboratory per week. Prerequisite: ENTM
421.
The principles of systematics including
traditional classification methods, cladistics,
and numerical taxonomy. Nomenclature,
continental drift, and speciation theory. A
laboratory problem in systematics is required.
ENTM 623 Insect Population
Genetics (3 credits)
Forces that alter allele and genotype
frequencies, population structure, population
genetic analysis of molecular data, quantitative
genetics, and the implications for biodiversity
and pest management.
ENTM 667 Aquatic Entomology
(3 credits)
One hour of lecture and six hours of
laboratory per week.
Biology, ecology, and taxonomy of aquatic
insects in lotic and lentic habitats, their
adaptation to aquatic life, their function in
aquatic ecosystems, and their relationship to
environmental deterioration.
ENTM 699 Advanced
Entomology (1-6 credits)
Credit and prerequisites to be determined by
the department. First and second semesters.
Studies of minor problems in morphology,
physiology, taxonomy and applied
entomology, with particular reference to the
preparation of the student for individual
research.
ENTM 701 Effective Teaching:
TA Training (1 credits)
A survey and discussion of topics pertinent to
graduate students who are first-time teaching
assistants, including teaching responsibilities
and policies, effective techniques of lecturing
and leading discussions, composing and
grading quizzes and exams, cultural diversity,
time management, and development of a
teaching portfolio. All teaching assistants in
the College of Life Sciences must take a 701
TA training course in one of the departments.
ENTM 788 Entomological Topics
(1-3 credits)
Prerequisite: permission of department.
One lecture or one two-hour laboratory period
a week for each credit hour. Lectures, group
discussions or laboratory sessions on selected
topics such as: aquatic insects, biological
control of insects, entomological literature,
forest entomology, history of entomology,
insect biochemistry, insect embryology,
immature insects, insect behavior, insect
communication, principles of entomological
research.
ENTM 789 Field Experience in
Pest Management (1-6 credits)
Prerequisite: ENTM 654 or permission of
department. Repeatable to 6 credits.
Involvement in practical problems of pest
management in field situations. The student
will be assigned to a problem area for
intensive experience, usually during the
430
summer. A final written report is required for
each assignment.
ENTM 798 Topic Seminar (1
credits)
Discussion and presentation of current
research and literature.
ENTM 799 Master's Thesis
Research (1-6 credits)
ENTM 898 Pre-Candidacy
Research (1-8 credits)
ENTM 899 Doctoral Dissertation
Research (1-8 credits)
Telecommunications
(ENTS)
ENTS 609 Telecommunications
Project (3 credits)
Consists of a student project in the area of
telecommunication system applications,
management, or policy. Specific projects will
be supervised individually by faculty members
associated with the M.S Program in
Telecommunications.
ENTS 622 Introduction to Digital
Communication Systems (3
credits)
Restricted to ENTS majors. All non-majors
will need to obtain permission for the
department. Credit will be granted for only
one of the following: ENTS622 or
ENTS689B. Formerly ENTS689B.
The course objective is to convey the
principles of analog and digital
communication systems design. Students will
analyze the performance and relative merits of
different modulation and demodulation, signal
processing, and error control schemes in
communication systems. Also provides an
understanding of the design of modern digital
communication systems.
ENTS 625 Management and
Organizational Behavior in the
Telecommunications Industry (3
credits)
Roles of the general manager in: determining
target markets and designing strategies for
them; formulating and implementing corporate
and business level strategies; and staffing,
developing, and managing human resources
and coordinating them with the organization's
financial and physical resources. Also
emphasizes the building of interpersonal skills
with respect to the selection of members for
work teams and team formation, leadership of
teams toward the achievement of strategic
goals and total quality, the development and
motivation of team members, and the
evaluation of team and individual
performance.
ENTS 630 The Economics of
International
Telecommunications (3 credits)
Economic analysis in telecommunications: the
demand for services, the nature of production,
competition, optimal pricing, and alternative
regulatory options.
ENTS 631 Competitive
Strategies and Public Policies in
Telecommunications (3 credits)
Describes and applies the tools of industry
economics, competitive strategy and policy
analysis to telecommunications policy. Basic
principles of antitrust and regulatory policy
will be presented and applied to current
telecommunications issues. Uses a global
perspective to explore the manner in which
other countries regulate their
telecommunications industries and draws
comparisons to the United States.
ENTS 632 Telecommunications
Marketing Management (3
credits)
Strategic marketing, sales and customer
service challenges confronting organizations
in the computer, communications and media
industries. Volatile technology, regulatory and
competitive environments as a backdrop to
strategic planning and management in the
marketing domain.
ENTS 635 Decision Support
Methods for Telecommunication
Managers (3 credits)
Prerequisite: MATH 241 and ENEE 324 or
equivalent.
The aim of this course is to introduce
management science techniques for informed
decision making. Topics covered will include
data analysis and regression, optimization
models and applications (workforce
scheduling, manufacturing, network design,
facility location), sensitivity analysis, decision
trees, risk analysis and business simulation
models. Emphasis will be on
telecommunications managerial problems,
model development and the use of software
packages for decision support.
ENTS 640 Telecommunication
Networks (3 credits)
An overview of design issues and the
important industry standards for digital
communications networks.
ENTS 641 Communication
Protocols (3 credits)
Prerequisite: ENTS 640.
Techniques for the specification, design,
analysis, verification and testing of
communication protocols are developed.
Various protocol services will be discussed
and example protocols given.
ENTS 650 Network Security (3
credits)
Various approaches to design, specification,
and verification of security protocols used in
large systems and networks. Topics of network
security, security threats and countermeasures,
communication security and basic encryption
techniques, data confidentiality and integrity,
analysis of cryptographic protocols, and access
control in large systems and networks.
ENTS 653 AWS/PCS System
Implementation (3 credits)
Restricted to ENTS majors. All non-majors
will need to obtain permission of
department. Credit will be granted for only
one of the following: ENTS653 or
ENTS689A. Formerly ENTS689A.
Engineering issues associated with designing
and deploying a AWS/PCS cellular wireless
communications system in the current world
environment will be examined. It will focus on
implementation issues such as the impact of
real world concerns on the deployment
strategy and the use of good engineering
paractice to overcome obstacles. Students will
create and modify mock deployments using
professional tools for cell planning and
interference analysis. Students will also be
exposed to drive testing tools and concepts for
migration to future technologies.
ENTS 654 Optimization and
Analysis of GSM Networks (3
credits)
Prerequisite: ENTS689A or ENTS653; and
permission of department. Restricted to
ENTS majors. All non-majors will need to
obtain permission of department. Credit
will be granted for only one of the
following: ENTS654 orENTS689B.
The techniques needed to successfully
optimize a functioning GSM networkwill be
examined. Students will conduct extensive
drive tests of a working network in the
Washington DC area using state-of-the-art
drivetest equipment and will analyze the
recorded data with post-processing analysis
tools. Also, they will learn to recognize
problems based on network behaviors and
what courses of action are available for
correction. Lab work and data collection will
constitute amajority of the class work.
ENTS 656 Introduction to
Cellular Communication
Networks (3 credits)
Prerequisite: ENTS 620 or equivalent.
Concepts and techniques involved in wireless
digital communications with emphasis on
cellular and PCS systems. Properties of
Mobile radio channels; intersymbol
interference, multipath, and fading effects;
interleaving and diversity; multiple access
schemes (TDMA, FDMA, CDMA, SDMA);
interuser interference, traffic issues, and cell
capacity; power control strategies; frequency
reuse and channel assignment; handoff,
paging, and location update; cell layout;
introduction to cellular and PCS standards.
ENTS 657 Satellite
Communication Systems (3
credits)
Credit will be granted for only one of the
following: ENTS657 or ENTS689S.
Formerly ENTS689S.
An examination of satellite telecommunication
systems with an emphasis on the mobile
satellite systems (MSS). Topics will include a
historical perspective, orbital mechanics and
constellations, choice of orbital parameters,
propagations considerations, link budgets,
interference issues and other obstacles, and
existing and proposed mobile satellite systems.
It will also look at some of the business
aspects such as the cost of deploying and
maintaining these systems.
ENTS 665 Advanced Wireless
Communications Networks (3
credits)
Prerequisite: ENTS689A or ENTS656.
Restricted to ENTS majors. All non-majors
will need to obtain permission from the
department. Credit will be granted for only
one of the following: ENTS689E or
ENTS665.
Some of the key concepts and technologies
used in the design of third generation (3G)
wireless networks and standards are presented.
It will start with a review of wireless CDMA
concepts and terminolgies followed by a more
detailed discussion of new concepts and
methodologies adopted in the next generation
systems of efficiently support multimedia
high-speed data traffic. Some of the key
concepts include link adaptation, scheduling,
space-time and other diversity techniques as
well as advanced channel and source coding.
As many techniques and concepts are similar
431
across different 3G standards, which will
initially focus on details of channelization and
protocol designs for one of 3G technologies,
i.e. CDMA2000 family of standards. Based on
this foundation, we will then present
overviews of other standards, e.g. EDGE,
WCDMA and TD-CDMA emphasizing on
their similarities and differences with
CDMA2000. In addition to air interface
features the course also presents network
elements and architectures and as well as
engineering considerations for 3G radio
network dimensioning.
ENTS 670 Introduction to
Business and Enterpreneurship
(3 credits)
Restricted to ENTS majors. All non-majors
will need to obtain permission of
department. Credit will be granted for only
one of the following: ENTS670 or
ENTS689J.
This is a fundamental course that provides a
broad introduction to various business issues
faced by any small business or stamp. Course
instructors present the key issues involved in
outlining a clear value proposition and
profitable business model, managing and
monitoring finances, developing a winning
team, addressing legal considerations,
executing on operations including marketing
sales, manufacturing and service.
ENTS 672 Global Economic
Environment (3 credits)
Restricted to ENTS majors. All non-majors
will need to obtain permission of
department. Credit will be granted for only
one of the following: ENTS672 or
ENTS6890. Formerly ENTS6890.
This course is intended to provide the future
manager, particularly in the
telecommunications industry, with the tools
necessary to intelligently interpret the national
and international economic environment
including the impact of economic policies on
the economy and the firm. It develops basic
macroeconomic theory to enable managers to
critically evaluate economic forecasts and
policy recommendations, and then applies
these concepts in a searies of case studies.
ENTS 673 Project Management
for Telecommunications (3
credits)
Formerly ENTS689P.
Introduces modiern project management.
Begins with an overview and expands into
Adaptive and Extreme project management.
The focus then shifts to the individual skills
required to be an effective project manager,
such as time management, leadership and
motivation. Once skills of the individual have
been addressed, social networks and how they
impact project management are examined.
ENTS 689 Special Topics (3
credits)
Repeatable to any number of credits if
content differs.
Selected topics of current importance in
telecommunications.
ENTS 699 Independent Study in
Telecommunications (1-3
credits)
Repeatable to 03 credits if content differs.
Individual instruction course. See ENTS
program office for section number.
Epidemiology and
Biostatistics (EPIB)
EPIB 610 Foundations of
Epidemiology (3 credits)
Not open to students who have completed
HLTH720. Credit will be granted for only
one of the following: EPIB610 or
HLTH720. Formerly HLTH720.
Introduction to the discipline of epidemiology
and its applications to health issues and
practices. Basic epidemiologic concepts and
methods will be covered.
EPIB 611 Intermediate
Epidemiology (3 credits)
Prerequisite: EPIB610.
Analysis of epidemiologic methods as applied
to epidemiologic research, analysis of bias,
confounding, effect modification issues,
overview of design, implementation, and
analysis of epidemiologic studies.
EPIB 612 Epidemiologic Study
Design (3 credits)
Prerequisite: EPIB610, EPIB611, and
EPIB650.
Application of epidemiologic study designs,
analytic methods used for analysis of cohort,
case-control, cross- sectional, and clinical trials
research.
EPIB 620 Chronic Disease
Epidemiology (3 credits)
Prerequisite: EPIB610.
Overview of prevalence and risk factors for
major chronic diseases. Discussion of
methodological issues unique to specific
chronic disease.
EPIB 621 Infectious Disease
Epidemiology (3 credits)
Prerequisite: EPIB610.
Overview of the unique aspects of infectious
diseases and the epidemiological methods used
in their study, prevention, and control.
EPIB 622 Social Determinants of
Health (3 credits)
Prerequisite: EPIB610.
Overview of the major social variables that
affect public health, including socioeconomic
status, poverty, income distribution, race,
social networks/support, community cohesion,
psychological stress, gender, and work and
neighborhood environment.
EPIB 623 Epidemiology of
Health Disparities (3 credits)
Prerequisite: EPIB610.
Determinants that influence health outcomes
of the most disadvantaged populations in the
United States. Focus on social factors
contributing to health disparities and inequities
in the US.
EPIB 624 Genetic in Public
Health (3 credits)
Prerequisite: EPIB610.
Emerging role of genetics in public health;
overview of basic tenets of human genetics;
examination of how public health practices
and research are influenced by genetics and
ethical issues specific to genetics.
EPIB 625 Epidemiology of
Physical Activity (3 credits)
Prerequisite: EPIB610.
Overview of evidence of the epidemiological
association of physical activity to a variety of
health outcomes, application of
epidemiological methods to the science of
physical activity and health.
EPIB 626 Epidemiology of
Obesity (3 credits)
Prerequisite: EPIB610.
Overview of the epidemiological, prevention,
and treatment of obesity, its causes and
consequences, and energy balance issues;
application of epidemiologic methods to the
study of obesity epidemiology.
EPIB 641 Public Health and
Research Ethics (1 credits)
Overview and discussion of ethical issues that
face public health practitioners and
researchers.
EPIB 650 Biostatistics I (3
credits)
Formerly: HLTH651 and HLTH688B. Not
open to students who have completed
HLTH65 1 or HLTH688B. Credit will be
granted for only one of the following:
EPIB650, HLTH651, or HLTH688B.
Basic statistical concepts and procedures for
Public Health. Focuses on applications, hands-
on-experience, and inteipretations of statistical
findings.
EPIB 651 Biostatistics II (3
credits)
Prerequisite: EPIB650.
Introduction to a variety of stattistical tools
with applictions in public health, including
simple and mutiple regression, experimental
design, categorical data analysis, logistic
regression, and survival analysis.
EPIB 652 Categorical Data
Analysis (3 credits)
Prerequisite: EPIB650 and EPIB651.
Methods for the analysis of categorical data as
applied to public health research, including
variables with two or more categories, analysis
of data structures that are counted, ordered,
censored, or subjecto to selection.
EPIB 653 Survival Data Analysis
(3 credits)
Prerequisite: EPIB650 and EPIB651.
Overview of statistical methods for anlayzing
censored survival data, including the Kaplan-
Meier estimator and the log-rank test.
EPIB 654 Clinical Trial Analysis
(3 credits)
Prerequisite: EPIB650 and EPIB651.
Principles of clinical trial design, including
randomization strategies, design and analytic
issues to minimize threats to validity, sample
size and power calculations, intention to treat
analyses.
EPIB 655 Longitudinal Data
Analysis (3 credits)
Prerequisite: EPIB650 and EPIB651.
Statistical models for drawing scientific
inferences from longitudinal data, longitudinal
study design, repeated measures and random
effects to account for experimental designs
that involve correlated responses, handling of
missing data.
EPIB 698 Special Topics in
Epidemiology and Biostatistics
(1-3 credits)
Open to master or doctoral students who desire
to pursue special topics in Epidemiology and
Biostatistics.
EPIB 710 Epidemiologic
Research Methods (3 credits)
Prerequisite: EPIB610, EPIB611, EPIB612,
432
EPIB650andEPIB651.
In-depth study of the knowledge and skills
needed to design, conduct, and evaluate an
epidemiologic research study. Development of
a complete research project.
EPIB 740 Advanced Methods in
Epidemiology (3 credits)
Prerequisite: EPIB610, EPIB611, EPIB612,
EPIB650, andEPIB651.
In-depth investigation of epidemiologic
methods for making causal inferences and
solving complex methodological problems.
Multivariate models emphasized.
EPIB 785 Internship in Public
Health (3 credits)
Prerequisite: permission of department.
Internship and seminar providing an
opportunity to apply previously acquired
knowledge and skills in a health or allied
health organization. Setting of the internship
will depend upon the student's background and
career goals.
EPIB 786 Capstone Project in
Public Health (3 credits)
Prerequisite: permission of department.
Capstone experience providing opportunity to
apply knowledge and skills to a specific public
health problem or issue. Completion of project
relevant to public health under the direction of
an advisor.
EPIB 788 Critical Readings in
Epidemiology and Biostatistics
(1-3 credits)
Pre- or corequisite: EPIB610. Repeatable to
6 credits if content differs.
Open to master and doctoral students to
discuss critical readings in Epidemiology and
Biostatistics.
EPIB 798 Independent Study (1-
6 credits)
Prerequisite: permission of department.
Repeatable to 9 credits if content differs.
Master or doctoral students who desire to
pursue special research problems under the
direction of a faculty memeber of the
department may register for 1-6 hours of credit
under this number.
EPIB 799 Master's Thesis
Research (1-6 credits)
EPIB 898 Pre-Candidacy
Research (1-8 credits)
EPIB 899 Doctoral Dissertation
Research (1-8 credits)
Family Science
(FMSC)
FMSC 430 Gender Issues in
Families (3 credits)
Prerequisite: SOCY100 or SOCY105 or
PSYC100. Also offered as WMST430.
Credit will be granted for only one of the
following: FMSC430, FMST430 or
WMST430. Formerly FMST430.
The development of historical, cultural,
developmental, and psychosocial aspects of
masculinity and femininity within the context
of contemporary families and the implications
for interpersonal relations.
FMSC 431 Family Crises and
Intervention (3 credits)
Prerequisite: PSYC100. Credit will be
granted for only one of the following:
FMSC431 orFMST431. Formerly
FMST431.
Family crises such as divorce, disability,
substance abuse, financial problems, intra -
familial abuse, and death. Theories and
techniques for intervention and enhancement
of family coping strategies.
FMSC 432 Adult Development
and Aging in Families (3
credits)
Prerequisite: PSYC100; and {SOCY100 or
SOCY105};andFMSC/FMST332 {or a
comparable development course}. Credit
will be granted for only one of the
following: FMSC432 or FMST432.
Formerly FMST432.
Theory, research, history, and programming
related to adult development and aging in the
intergenerational context of family.
FMSC 452 Family Policy
Analysis (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: FMSC452 or FMST452.
Formerly FMST452.
Examination of public, private, and nonprofit
sector policies and their impact on the quality
of family life. Emphasis on policy formation,
implementation, and evaluation.
FMSC 460 Violence in Families
(3 credits)
Prerequisite: PSYC100 or SOCY100 or
SOCY105. Credit will be granted for only
one of the following: FMSC460 or
FMST460. Formerly FMST460.
Theories of child, spouse, and elder abuse in
the family setting. Emphasis on historical,
psychological, sociological and legal trends
relating to physical, emotional, and sexual
abuse. Introduction to methods for prevention
and remediation.
FMSC 477 Internship and
Analysis in Family Science (3
credits)
Prerequisite: FMST383, plus an additional
six FMSC/FMST credits and permission of
department. For FMSC majors only. Credit
will be granted for only one of the
following: FMSC477, FMST347 or
FMST477. Formerly FMST477.
A supervised internship and a seminar
requiring analysis. Opportunities to integrate
theory and practice including 120 hours of
contracted field experience. Summer or fall
internship contracts due May 1; Spring
contracts due December 1. See department for
application procedures.
FMSC 480 Work and Family
Issues and Programs (3 credits)
Credit will be granted for only one of the
following: FMSC480 or FMST480.
Formerly FMST480.
The puipose, nature, organization and
administration of work site, or employer-
based, family support resources, including
child and elder care referral and subsidies,
parenting education, health and wellness
programs, parental and sick child leaves, and
flexible work scheduling.
FMSC 485 Introduction to
Family Therapy (3 credits)
Prerequisite: FMSC/FMST330 or
FMSC/FMST370; or one psychology
course at 300 or above level. Credit will be
granted for only one of the following:
FMSC485 or FMST485. Formerly
FMST485.
The fundamental theoretical concepts and
clinical procedures of marital and family
therapy including premarital and divorce
therapy issues.
FMSC 487 Legal Aspects of
Family Problems (3 credits)
Credit will be granted for only one of the
following: FMSC487 or FMST487.
Formerly FMST487.
Laws and legal procedures, with emphasis on
adoption, marriage, divorce, annulment, and
property rights, and how they affect family
life.
FMSC 490 Family and Addiction
(3 credits)
Prerequisite: SOCY100 or SOCY105 or
PSYC100 or permission of instructor.
Theory, research, and clinical practice in the
area of addictions and recovery as they relate
to family processes.
FMSC 497 The Child and the
Law (3 credits)
Credit will be granted for only one of the
following: FMSC497 or FMST497.
Formerly FMST497.
Legislation and case law regarding children's
legal rights with emphasis on the rights of
children in the juvenile justice system, and
rights to medical, educational, and other social
services.
FMSC 498 Special Topics:
Family Science (1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Formerly FMST498.
Special course topics in family studies.
FMSC 600 Family Theories (3
credits)
Credit will be granted for only one of the
following: FMSC600 or FMST600.
Formerly FMST600.
An overview of the theoretical frameworks
underlying research on the family. Survey of
research findings.
FMSC 603 Programmatic
Approaches to Family Problems
(3 credits)
Credit will be granted for only one of the
following: FMSC603 or FMST603.
Formerly FMST603.
Theories, assumptions, and principles that
guide the design, implementation, and
evaluation of family-focused prevention and
intervention programs.
FMSC 606 Ethnic Families and
Health Disparities (3 credits)
Credit will be granted for only one of the
following: FMSC606 or FMST606.
Formerly FMST606.
Historical, psychosocial, economic, and
political factors influencing the structure and
functioning of ethnic families. Overview of
racial and ethnic health disparities over the life
course and ways in which they are influenced
by multi-level contextual factors.
FMSC 610 Research Methods in
Family Studies (3 credits)
Prerequisite: EDMS645 or equivalent.
Recommended: FMST604. Credit will be
granted for only one of the following:
FMSC610 or FMST610. Formerly
433
FMST610.
Research methods in family science. The role
of theory, design, use of qualitative and
quantitative measurement techniques, data
collection and data analysis. Development of
research proposals.
FMSC 640 Family Therapy:
Theory and Techniques (3
credits)
Credit will be granted for only one of the
following: FMSC640 or FMST640.
Formerly FMST640.
Overview of fundamental theoretical concepts
and clinical procedures in marital and family
therapy, with an emphasis on those therapies
which operate from a family systems
perspective.
FMSC 641 Couples Therapy,
Theory, and Techniques (3
credits)
Prerequisite: FMSC/FMST640. Credit will
be granted for only one of the following:
FMSC641 or FMSC641. Formerly
FMST641.
Overview of theoretical models of couple
relationships and methods of facilitating
growth and interaction within those
relationships. Emphasis on couples with
conflicting needs and expectations, and
dysfunctional communication and conflict
negotiation skills.
FMSC 642 Normal and Abnormal
Individual and Family
Development (3 credits)
Prerequisite: FMSC/FMST640. Credit will
be granted for only one of the following:
FMSC642 or FMST642. Formerly
FMST642.
Normal development and psychopathology in
the family system. Emphasis on parent-child
relationships and application of the current
Diagnostic and Statistical Manual of Mental
Disorders (DSM) to family therapy.
FMSC 645 Sexuality: Issues in
Family Therapy and Service
Delivery (3 credits)
Prerequisites: a basic course in human
sexuality and permission of instructor.
Credit will be granted for only one of the
following: FMSC645 or FMST645.
Formerly FMST645.
Typical, dysfunctional, and pathological
sexual functioning: effects on individuals,
couples, and family systems. Sensitizes
students to sexual issues, explores how
perceptions or such issues affect work with
people, and emphasizes implications for
marriage and family therapy.
FMSC 646 Sex Therapy: Theory,
Skills, and Practice (3 credits)
Prerequisite: FMSC/FMST645 or
permission of department.
Introduction to the theory and practice of sex
therapy, including information about human
sexual function and dysfunction and
appropriate intervention methods. Emphasis
on the relationship and the dynamics of sexual
functioning within that system.
FMSC 647 Theory and
Techniques of Family Mediation
(3 credits)
Credit will be granted for only one of the
following: FMSC647 or FMST647.
Formerly FMST647.
An introduction to family mediation as an
approach to helping families deal effectively
with the issues associated with separation and
divorce. Theory, practice, and techniques of
negotiation, with an emphasis on custody,
property division, and the constructive
restructuring of family relationships.
FMSC 650 Ethical, Legal, and
Professional Principles in
Marriage and Family Therapy (3
credits)
Prerequisite: permission of department.
Limited to students admitted to the family
therapy program. Credit will be granted for
only one of the following: FMSC650 or
FMST650. Formerly FMST650.
An introduction to the basic principles and
practices of family therapy. Emphasis on basic
therapy skills applied to a family context and
on professional ethics of the family
practitioner. Addresses therapist's legal
responsibilities and liabilities, certification,
and licensure issues.
FMSC 651 Psychopathology in
the Family Context (3 credits)
Prerequisite: FMSC/FMST650. Limited to
students admitted to the family therapy
program. Credit will be granted for only
one of the following: FMSC651 or
FMST651. Formerly FMST651.
Diagnosis and treatment of psychopathology
within the family context, with application of
various family therapy models.
FMSC 652 Diagnosis and
Treatment of Mental and
Emotional Disorders in Family
Systems (3 credits)
Prerequisite: FMSC/FMST651. Limited to
students admitted to the family therapy
program. Credit will be granted for only
one of the following: FMSC652 or
FMST652. Formerly FMST652.
Systematic assessment, diagnosis, and
treatment of mental and emotional disorders in
couples and families. Utilization and critique
of the current Diagnostic Statistical Manual
Disorders (DSM).
FMSC 653 Advanced
Application of MFT Models and
Techniques (3 credits)
Prerequisite: FMSC/FMST652. Limited to
students admitted to the family therapy
program. Credit will be granted for only
one of the following: FMSC653 or
FMST653. Formerly FMSC653.
Advanced application and integration of
family therapy skills and theoretical models in
the practice of marriage and family therapy.
Emphasis on treatment plans from different
therapeutic models demonstrated in case
presentations and supervision of therapy.
FMSC 654 Clinical Marriage and
Family Therapy Practice (3
credits)
Prerequisite: FMSC/FMST651. Limited to
students admitted to the family therapy
program. Credit will be granted for only
one of the following: FMSC654 or
FMST654. Formerly FMST654.
Application of theory and technique to the
clinical practice of marriage and family
therapy. Emphasis on case management and
clinic administration. Includes completion of
12 successive months and 500 hours of
supervised, direct client contact with couples,
families, and individuals from an integrative
family systems perspective.
FMSC 658 Supervised Clinical
Practice of Marriage and Family
Therapy (1-3 credits)
Prerequisite: FMSC/FMST650 and
permission of instructor. Repeatable to 12
credits if content differs. Formerly
FMST658.
The supervision of marriage and family
therapy client contact. Various family systems
models of supervision applied via extant
methods, including live, videotaped, and
audiotaped.
FMSC 660 Program Planning
and Evaluation in Family
Studies (3 credits)
Credit will be granted for only one of the
following: FMSC660 or FMST660.
Formerly FMST660.
Theory and methods of program planning and
evaluation with special emphasis on family
programs. Assessment of program goals and
the social and psychological factors involved
in program implementation. Methods for
measuring the effectiveness of program
delivery, as well as the impact of services on
family functioning.
FMSC 668 Special Topics in
Family Sciences (1-3 credits)
FMSC 689 Independent Study
(1-6 credits)
p;pd. Repeatable to 6 credits if content
differs. Formerly FMST689.
Arranged group study on specific topic which
may vary from term to term.
FMSC 698 Advanced Topics in
Family Science (1-3 credits)
Repeatable to 12 credits. Formerly
FMST698.
Arranged group study on specific topic which
may vary from term to term.
FMSC 699 Independent Study
(1-6 credits)
Prerequisites: permission of instructor and
department. Repeatable to 6 credits.
Formerly FMST699.
FMSC 700 Application of
Advanced Quantitative Methods
in Family Research (3 credits)
Prerequisite: FMSC610 or equivalent; and
EDMS646. Formerly: FMST698R (Spring
2007) and FMSC698R (Spring 2008).
Optimal use of various designs, statistical
methods and procedures in behavioral research
in families.
FMSC 71 Current Topics in
Maternal and Child Health (3
credits)
Credit will be granted for only one of the
following: FMSC710 or FMST710.
Formerly FMST7 10.
Survey of current issues and key topics in
maternal and child health. Introduction to the
models of desease causation and the historical
contexts that gave rise to these approaches to
the promotion of Maternal and Child Health.
FMSC 720 Study Design in
Maternal Child Health
Epidemiology (3 credits)
Prerequisite: EPIB610, EPIB611, and
EPIB650. Recommended: FMSC710. Also
434
offered as EPIB612. Credit will be granted
for only one of the following: EPIB612 or
FMSC720.
A detailed survey of key epidemiologic study
designs provided through readings, lectures,
and exercises. Lectures begin by considering
the evolution of epidemiologic thought and
parallel developments in design of
epidemiologic studies. Topics covered include
assessment of causality in observational
studies, investigation of acute outbreaks,
survey design, cohort and case-control study
designs, clinical randomized trials, and
discussion of issues pertaining to measurement
error in the assessment of exposures and
outcomes in epdemiologic studies.
FMSC 730 Maternal and Family
Health in Adulthood and Aging
(3 credits)
Prerequisite: permission of instructor.
Overview of major public health problems
during the adult and elderly years, including
cigarette smoking, obesity, physical inactivity,
substance abuse, risky sexual behavior,
cardiovascular disease, cancer, diabetes,
osteoporosis, and HIV/AIDS. Examination of
life course research, prevention, and
intervention programs, and public information
campaigns.
FMSC 745 Gender and Ethnicity
in Family Therapy and Service
Delivery (3 credits)
Credit will be granted for only one of the
following: FMSC745 or FMST745.
Formerly FMST745.
Major critiques of sources of racial, cultural,
and gender bias in marital and family therapy
and family service delivery. Addresses these
issues in program development and clinical
practice.
FMSC 750 Family and Health
Policy (3 credits)
Credit will be granted for only one of the
following: FMSC750 or FMST750.
Formerly FMST750.
Development and analysis of public policies
affecting the health and well being of children,
youth, and families, with an emphasis on low
income and ethnic minority populations.
Examiniation of social, economic, and
political dynamics that influence family and
health policies and the delivery of health care.
Introduction to health advocacy within the US
public health system.
FMSC 758 Supervision of
Marriage and Family Therapy
Supervision (1-3 credits)
Prerequisite: FMST 690 or permission of
department. Repeatable to 12 credits if
content differs. Formerly FMST758.
Designed to provide supervision of marriage
and family therapy supervision. Limited to
students who have completed a Marriage and
Family Therapy (MFT) Program or its
equivalent, have completed an approved MFT
supervision course, and are supervisors-in-
training. Supervised supervision will
incorporate various family systems, models
and methods.
FMSC 760 Legal Issues &
Families (3 credits)
Credit will be granted for only one of the
following: FMSC760 or FMST760.
Formerly FMST760.
Analysis of marriage and family issues from a
lega perspective. Review of legal decisions
affecting families, including proceative rights,
marriage, termination of marriage, parental
and child rights, adoption, child custody, and
child/family medical treatment. Relationship
between family law and family policy.
FMSC 780 Qualitative Methods
in Family and Health Research
(3 credits)
Credit will be granted for only one of the
following: FMSC780 or FMST780.
Formerly FMST780.
Theoretical perspectives and methodological
tools to conduct research with individuals and
families across the life span. Review of
research designs, participant fieldwork,
observation and interview projects, data
collection, computer-assisted data analysis,
and development of grounded theory.
FMSC 789 Non-Thesis Research
(1-3 credits)
Repeatable to 6 credits if content differs.
Formerly FMST789.
Non-thesis option research papers.
FMSC 790 Marriage and Family
Therapy Supervision (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: FMSC790, FMST690, or
FMST790. Formerly FMST790.
Theory and research in supervision of
marriage and family therapy. Emphasis on
major models, articulation of personal model,
and demonstration of perceptual, conceptual,
and executive skills in marriage and family
therapy supervision. Designed to meet the
didactic course component of the designation
of Approved Supervisor of the American
Association for Marriage and Family Therapy.
FMSC 799 Master's Thesis
Research (1-6 credits)
Formerly FMST799.
FMSC 810 Theory in Family
Systems and Family Health (3
credits)
Prerequisite: permission of instructor.
Credit will be granted for only one of the
following: FMSC810, FMST698P, or
FMST810. Formerly FMST810.
Theory and research on family interaction and
family coping with normative health and
mental health transitins and non-normative
crises across the family life cycle. Micro-
analysis of family process in communication,
decision-making, problem-solving, and
compliance to health regimens. Examination
of dysfunctional patterns and effective coping
strategies.
FMSC 850 Maternal & Child
Health Epidemiology (3 credits)
Prerequisite: permission of instructor.
Credit will be granted for only one of the
following: FMSC850, FMST698P, or
FMST850. Formerly FMST850.
Determinants and trends in Maternal and Child
Health, including analysis of the role of
economic inequalities, race and ethnicity,
community contexts, and psychosocial factors
across the life course. Overview of methods
and data systems used to monitor Maternal and
Child Health. Development of a complete
population health study.
FMSC 898 Pre-Candidacy
Research (1-8 credits)
Formerly FMST898.
FMSC 899 Doctoral Dissertation
Research (1-8 credits)
Formerly FMST899.
Foreign Language
(FOLA)
FOLA 408 Foreign Language I (3
credits)
Intensive study of a foreign language or
related topic not available under one of the
current foreign language departments or
programs. May not be used to fulfill the arts
and humanities language requirement.
FOLA 409 Foreign Language II
(3 credits)
Prerequisite: FOLA408 in the same
language or topic.
A continuation of FOLA 408. May not be used
to fulfill arts and humanities language
requirement.
FOLA 459 Foreign Literature in
Translation (3 credits)
Repeatable to 6 credits if content differs.
Reading and discussion of selected authors,
periods or genres of a foreign literature not
otherwise offered. All readings and instruction
in English.
French (FREN)
FREN 400 Applied Linguistics (3
credits)
The nature of applied linguistics and its
contribution to the effective teaching of
foreign languages. Comparative study of
English and French, with emphasis upon
points of divergence.
FREN 401 Writing with Style (3
credits)
Prerequisite: FREN301 or permission of
department.
Advanced composition and stylistic analysis.
FREN 404 Issues in the French-
Speaking World Today (3
credits)
Prerequisite: FREN3 1 1 or FREN 3 1 2 or
permission of department.
A sociocultural and historical approach to
relevant issues affecting contemporary French
civilization. Press articles and television
programs will be the basis for classroom
cultural analysis and oral communication.
FREN 406 Commercial French II
(3 credits)
Prerequisite: FREN306 or permission of
dep ailment.
Advanced study of commercial French
language—terminology and style—leading to
preparation for the Paris Chamber of
Commerce Examination.
FREN 407 History of the French
Language (3 credits)
Prerequisite: FREN351 orFREN352 or
equivalent.
Evolution of the French language from Latin
to modern French. Taught in French.
FREN 429 Studies in French
Literature and Culture of the
Renaissance (3 credits)
Prerequisite: FREN351 orFREN352 or
equivalent. Repeatable to 6 credits if
content differs.
Selected topics in French literature of the
Renaissance.
435
FREN 439 Studies in 17th
Century French Literature and
Culture (3 credits)
Prerequisite: FREN351 orFREN352 or
equivalent. Repeatable to 6 credits if
content differs.
Selected topics in seventeenth-century French
literature.
FREN 449 Studies in 18th
Century French Literature and
Culture (3 credits)
Prerequisite: FREN351 orFREN352 or
equivalent. Repeatable to 6 credits if
content differs.
Selected topics in eighteenth-century French
literature.
FREN 459 Studies in 19th
Century French Literature and
Culture (3 credits)
Prerequisite: FREN351 orFREN352 or
equivalent. Repeatable to 6 credits if
content differs.
Selected topics in nineteenth-century French
literature.
FREN 469 Studies in 20th
Century French Literature and
Culture (3 credits)
Prerequisite: FREN351 orFREN352 or
equivalent. Repeatable to 6 credits if
content differs.
Selected topics in twentieth-century French
literature.
FREN 471 The Construction of
French Identity I: From the
Origins to the Age of Versailles
(3 credits)
French life, customs, culture, traditions (800-
1750).
FREN 472 The Construction of
French Identity II: From the
Revolution to the Early
Twentieth Century (3 credits)
French life, customs, culture, traditions (1750
to the early twentieth century).
FREN 473 The Construction of
French Identity III: Cross-
Cultural Approaches to the
Study of Contemporary French
Society (3 credits)
Patterns of communication, mythology, and
ideology in modern France, from the Third
Republic to the present, through historical and
cross-cultural approaches, with reference to
the Francophone world.
FREN 474 Contemporary
France: A Sociocritical
Approach (3 credits)
Recommended: FREN473.
A sociocritical approach to understanding
modern French society through the study of
print and non-print media documents
(autobiography, film, and paraliterature), with
reference to the Francophone world.
FREN 478 Themes and
Movements of French Literature
in Translation (3 credits)
Studies treatments of thematic problems or
literary or historical movements in French
literature. Topic to be determined each
semester. Taught in English.
FREN 479 Masterworks of
French Literature in Translation
(3 credits)
Treats the works of one or more major French
writers. Topic to be determined each semester.
Taught in English.
FREN 480 French Cinema: A
Cultural Approach (in
Translation) (3 credits)
Formerly FREN475.
A study of French culture, civilization, and
literature through the medium of film. Taught
in English.
FREN 481 Femmes Fatales and
the Representation of Violence
in Literature, Opera and Film (in
English) (3 credits)
The problem of violence in art with respect to
women and marginal populations. Taught in
English.
FREN 482 Gender and Ethnicity
in Modern French Literature (3
credits)
Literature by women writers of France and
other French speaking areas with a focus on
the relationship between gender, ethnicity and
writing. Taught in English.
FREN 488 Special Topics in
Francophone Studies (3
credits)
Repeatable to 9 credits if content differs.
Topic and language of instruction to be
announced when offered.
FREN 489 Seminar in Themes or
Movements of French Literature
(3 credits)
Prerequisite: FREN351 orFREN352 or
equivalent. Repeatable to 6 credits if
content differs.
FREN 495 Honors Thesis
Research (3 credits)
Open only to students admitted to the
departmental honors program.
The writing of a paper under the direction of a
professor in this department and an oral
examination. Required to fulfill the
departmental honors requirement.
FREN 498 Special Topics in
French Literature (3 credits)
Prerequisite: FREN351 orFREN352 or
equivalent. Repeatable to 6 credits if
content differs.
FREN 499 Special Topics in
French Studies (3 credits)
Repeatable to 6 credits if content differs.
An aspect of French studies, the specific topic
to be announced each time the course is
offered.
FREN 600 Introduction to
Literary Theory (3 credits)
FREN 601 The History of the
French Language (3 credits)
FREN 603 Advanced Translation
(3 credits)
Advanced translation (French/English,
English/French) and comparative stylistic
analysis.
FREN 609 Special Topic in the
French Language (3 credits)
FREN 610 Issues and Research
Findings in French as a
Foreign/Second Language (3
credits)
Theories and research findings in SLA as they
pertain to the acquisition of French in different
environments.
FREN 61 1 The Structure of the
French Language (3 credits)
Phonology, morphology, syntax and semantics
of modern French. Collection and critical
analysis of language data.
FREN 619 Special Topic in
Medieval French Literature (3
credits)
FREN 629 Special Topic in
Sixteenth Century French
Literature (3 credits)
FREN 639 Special Topic in
Seventeenth Century French
Literature (3 credits)
FREN 649 Special Topic in
Eighteenth Century French
Literature (3 credits)
FREN 653 The French Novel in
the Nineteenth Century (3
credits)
FREN 659 Special Topic in
Nineteenth Century French
Literature (3 credits)
FREN 663 The French Novel in
the Twentieth Century (3
credits)
FREN 665 The French Theatre in
the Twentieth Century (3
credits)
FREN 669 Special Topics in
Twentieth Century French
Literature (3 credits)
FREN 679 The History of Ideas
of France (3 credits)
Analysis of currents of ideas as reflected in
different periods and authors of French
literature.
FREN 689 Seminar in a Great
Literary Figure (3 credits)
FREN 699 Seminar (3 credits)
Topic to be determined each semester.
FREN 709 College Teaching of
French (1 credits)
Repeatable to 2 credits.
Introduction to the teaching of French at the
college level with particular emphasis on
methodology. Seminars in theory,
demonstration of different teaching
techniques, supervised practice teaching,
training in language laboratory procedures,
evaluation of instructional materials. Required
of all graduate assistants in French.
FREN 798 Master's Independent
Study (1-3 credits)
Prerequisite: permission of the department's
Director of Graduate Studies. Repeatable to
3 credits.
FREN 799 Master's Thesis
Research (1-6 credits)
FREN 818 French Literary
Criticism (3 credits)
Analysis and evaluation of various trends in
literary criticism. Topic to be determined each
semester.
436
FREN 889 Doctoral Independent
Study (3 credits)
Repeatable to 06 credits.
FREN 898 Pre-Candidacy
Research (1-8 credits)
Repeatable to 6 credits.
FREN 899 Doctoral Dissertation
Research (1-8 credits)
Geography (GEOG)
GEOG 410 Washington, D.C.:
Past and Present (3 credits)
Credit will be granted for only one of the
following: GEOG410 orGEOG454.
Formerly GEOG454.
Development of the Washington, D.C. area
from its origin as the Federal Capital to its role
as a major metropolitan area. The geographic
setting, the L'Enfant Plan and its modification,
the federal government role, residential and
commercial structure. The growth of
Washington's suburbs.
GEOG 413 Migration: Latin
America and the United States
(3 credits)
Prerequisite: GEOG313 or permission of
department. Recommended: HIST250,
USLT201, or LASC234. Credit will be
granted for only one of the following:
GEOG413 or GEOG498M. Formerly
GEOG498M.
Develops an understanding of the push and
pull factors that have contributed to human
mobility (migration) that has transformed the
Americas. The class is divided in two parts:
immigration and emigration from Latin
American and Latin America migration to the
United States. We will be interested in
studying the migration shifts that have
occurred in Latin America and the theories
that help explain them. The themes that will be
addressed are the history of migration with
Latin America and to North America, the
impact of this migration on both sending and
receiving countries, and the various policy
strategies and issues concerning migration.
GEOG 415 Land Use, Climate
Change, and Sustainability (3
credits)
Prerequisite: GEOG 123, GEOG306, or
permission of department. Recommended:
GEOG201/211, GEOG340, GEOG342, or
GEOG331. Credit will be granted for only
one of the following: GEOG415 or
GEOG498D. Formerly GEOG498D.
The issues of climate change and land use
change as two interlinked global and regional
environmental issues and their implications for
society and resource use are explored.
GEOG 418 Field and Laboratory
Techniques in Environmental
Science (1-3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: GEOG418 orGEOG448.
Formerly GEOG448.
Lecture and laboratory learning each week. A
variable credit course that introduces field and
laboratory analyses in environmental science.
Individual learning contract are developed
with instructor.
GEOG 431 Culture and Natural
Resource Management (3
credits)
Credit will be granted for only one of the
following: GEOG421 orGEOG431.
Formerly GEOG421.
Basic issues concerning the natural history of
humans from the perspective of the
geographer. Basic components of selected
behavioral and natural systems, their evolution
and adaptation, and survival strategies.
GEOG 432 Location Theory and
Spatial Analysis (3 credits)
Credit will be granted for only one of the
following: GEOG430 orGEOG432.
Formerly GEOG430.
Theories and procedures for determining the
optimal location of industrial, commercial and
public facilities. Techniques to evaluate
location decisions. The provision of services
with regions and metropolitan areas. Emerging
trends.
GEOG 434 The Contemporary
City (3 credits)
Credit will be granted for only one of the
following: GEOG434 orGEOG450.
Formerly GEOG450.
The contemporary urban system: towns, cities
and metropolitan areas and their role as
concentrations of social and economic activity.
Patterns of land-use: residential, employment,
commercial activity, manufacturing, and
transportation. Explanatory and descriptive
models. International comparisons.
GEOG 435 Population
Geography (3 credits)
Credit will be granted for only one of the
following: GEOG422 orGEOG435.
Formerly GEOG422.
The spatial characteristics of population
distribution and growth, migration, fertility
and mortality from a global perspective. Basic
population-environmental relationships;
carrying capacity, density, relationships to
national development.
GEOG 437 Political Geography
(3 credits)
Credit will be granted for only one of the
following: GEOG423 orGEOG437.
Formerly GEOG423.
Geographical factors in the national power and
international relations; an analysis of the role
of geopolitics and geostrategy, with special
reference to the current world scene.
GEOG 438 Seminar in Human
Geography (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Selected topics in human geography.
GEOG 441 The Coastal Ocean (3
credits)
Prerequisite: GEOG140 or equivalent; or
permission of department. Recommended:
GEOG20 1 and GEOG2 1 1 . Credit will be
granted for only one of the following:
GEOG441 or GEOG498C. Formerly
GEOG498C.
Introduction to coastal oceanography, focusing
on the physical, biological, and geological
aspects of ocean areqs on the inner continental
shelves. Wave, currents, and tidal dynamics of
bays, open coast, estuaries, and deltas.
Sedimentary environments of major coastal
types. Ecology and biogeochemical
relationships, including benthic and planktonic
characteristics. Coastal evolution with sea
level rise. Human impacts: eutrophication,
modification of sedimentation. The coastal
future: rising sea level, hypoxia, and increased
storminess.
GEOG 442 Biogeography and
Environmental Change (3
credits)
Prerequisite: GEOG342 or equivalent.
Recommended: GEOG123. Credit will be
granted for only one of the following:
GEOG442, GEOG447, or GEOG484.
Formerly GEOG447.
Biogeographical topics of global significance,
including a consideration of measurement
techniques, and both descriptive and
mechanistic modeling. Topics may include:
scale in biogeography, climate and vegetation,
global carbon cycle, biodiversity, interannual
variability in the biosphere, land cover, global
biospheric responses to climate change,
NASA's Mission to Planet Earth and Earth
Observation System.
GEOG 445 Climatology (3
credits)
Prerequisite: GEOG345. Credit will be
granted for only one of the following:
GEOG445 or GEOG446. Formerly
GEOG446.
Quantitative investigations into the Earth's
radiation balance, water cycle, and the
interrelationship of climate and vegetation.
Methodologies in climate research. Case
studies related to global climatic change.
GEOG 456 The Social
Geography of Metropolitan
Areas in Global Perspective (3
credits)
A socio-spatial approach to human interaction
within the urban environments: ways people
perceive, define, behave in, and structure
world cities and metropolitan areas. Cultural
and social differences define spatial patterns of
social activities which further define
distinctions in distribution and interaction of
people and their social institutions.
GEOG 472 Remote Sensing:
Digital Processing and Analysis
(3 credits)
Prerequisite: GEOG306, GEOG372 or
equivalent. Credit will be granted for only
one of the following: GEOG472 or
GEOG480. Formerly GEOG480.
Digital image processing and analysis applied
to satellite and aircraft land remote sensing
data. Consideration is given to preprocessing
steps including calibration and geo
registration. Analysis methods include digital
image exploration, feature extraction thematic
classification, change detection, and
biophysical characterization. One or more
application examples may be reviewed.
GEOG 473 Geographic
Information Systems and Spatial
Analysis (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
GEOG306 and GEOG373. Credit will be
granted for only one of the following:
GEOG473 orGEOG482. Formerly
GEOG482.
Analytical uses of geographic information
systems; data models for building geographic
data bases; types of geographic data and
spatial problems; practical experience using
advanced software for thematic domains such
as terrain analysis, land suitability modeling,
437
demographic analysis, and transportation
studies.
GEOG 475 Computer
Cartography (3 credits)
Prerequisite: GEOG306 and GEOG373.
Credit will be granted for only one of the
following: GEOG471 orGEOG475.
Formerly GEOG471.
Advanced skills of computer mapping using
more sophisticated software packages. Map
projection evaluation and selection, coordinate
system conversion, techniques of quantitative
thematic mapping, map design and
generalization, hypermedia and animated
cartography. Emphasis on designing and
making cartographic ally sound sophisticated
thematic maps.
GEOG 476 Object-Oriented
Computer Programming for GIS
(3 credits)
Prerequisite: GEOG306, GEOG373 or
equivalent. Corequisite: MATH130,
MATH140 or MATH220. Credit will be
granted for only one of the following:
GEOG498G or GEOG476. Formerly
GEOG498G.
Expands on conceptual and practical aspects
of programming for geographic applications.
The main focus of this course is to provide
students more advanced programming in
object oriented programming languages (i.e.
Python). In addition, students will develop a
proficiency in applying these advanced
programming principles to manipulating
spatial data sources within the Geographic
Information Systems (GIS).
GEOG 496 NASA Academy (4
credits)
Two hours of lecture and four hours of
laboratory per week. Prerequisite: College
Permission. Junior standing. Also offered
as CMPS496 and ENES496. Credit will be
granted for only one of the following:
CMPS496, GEOG496 or ENES496.
A ten-week resident summer institute at
Goddard Space Flight Center for juniors,
seniors and first-year graduate students
interested in pursuing professional and
leadership careers in aerospace -related fields.
The national program includes research in a
Goddard laboratory, field trips to NASA
centers, and a combination of lectures and
workshops on the mission, current activities
and management of NASA. Students
interested in the Academy will find
information at http://nasa-academy.nasa.gov
Application should be made by the end of
January; sponsorship by an affiliated State
Space Grant Consortium is customary, but not
required.
GEOG 498 Topical
Investigations (1-3 credits)
Restricted to advanced undergraduate
students with credit for at least 24 hours in
geography and to graduate students. Any
exceptions should have approval of
department. Repeatable to 6 credits if
content differs.
Independent study under individual guidance.
GEOG 506 Introduction to
Quantitative Methods for the
Geographic Environmental
Sciences (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
Admission to MPS GIS program.
Essentials in the quantitative analysis of
spatial and other data, with a particular
emphasis on statistics and programming.
Topics include data display, data description
and summary, statistical inference and
significance tests, analysis of variance,
correlation, regression, and spatial statistics.
Students will develop expertise in data
analysis using advanced statistical software.
GEOG 579 Introduction to
Remote Sensing and GIS (2-4
credits)
Prerequisite: Admission to the MPS GIS
program.
Introduction to remote sensing and geographic
information systems. Topics include methods
of obtaining quantitative information from
remotely sensed images, interpretation of
remotely sensed images for spatial and
environmental relationships, characteristics
and organization of geographic data, including
spatial data models for thematic mapping and
map analysis and use of GIS in society,
government, and business. Practical
experience with remote sensing software and
GIS.
GEOG 600 Introduction to
Human Geography (3 credits)
Prerequisite: permission of department.
Introduces students to current trends and
developments in human geography in the areas
of geography as social science, space and
place, and human dimensions of global
change, and to research procedures in this
field.
GEOG 602 Introduction to
Physical Geography (3 credits)
Prerequisite: permission of department.
Introduces students to current trends and
developments in physical geography and to
research procedures in the field.
GEOG 603 Masters Research
Tutorial (3 credits)
Prerequisite: GEOG 600; and permission of
department. Credit will be granted for only
one of the following: GEOG 603 or GEOG
610. Formerly GEOG610.
Development of Masters scholarly paper topic,
critical literature review, formulation of
geographical approach to research
methodology. Individual meetings with
faculty. Comprehensive exam before the end
of the semester.
GEOG 604 PhD Research
Tutorial (3 credits)
Prerequisite: GEOG 600; and permission of
department. Credit will be granted for only
one of the following: GEOG 604, GEOG
610D, or GEOG 611. Formerly
GEOG611.
Development of Doctoral research proposal:
critical literature review; formulation of
research methodology; data identification and
evaluation. Individual meetings with faculty.
Doctoral proposal defense before end of
semester.
GEOG 606 Quantitative Spatial
Analysis (3 credits)
Prerequisite: GEOG 305; or permission of
department. Credit will be granted for only
one of the following: GEOG 605 or GEOG
606. Formerly GEOG605.
Multivariate statistical method applications to
spatial problems. Linear and non-linear
correlation and regression, factor analysis,
cluster analysis. Spatial statistics including:
trend surfaces, sequences, point distributions.
Applications orientation.
GEOG 609 Seminar in Remote
Sensing (3 credits)
Prerequisite: GEOG 480. Repeatable to 6
credits if content differs.
Topics in Remote Sensing: These may include
agricultural, forestry, coastal environments,
urban environments, and other major
applications of remote sensing. Also may
focus on new and existing earth observation
missions dedicated to land research.
GEOG 614 Human Dimensions
of Global Change (3 credits)
The intersection of human and biophysical
systems from the vantage point of the impact
of human actions on the environment are
examined. The impact of the biophysical
environment on humans is also discussed.
GEOG 615 Land Cover and Land
Use Change (3 credits)
Prerequisite: GEOG435, GEOG442,
GEOG472, or GEOG473; or permission of
department.
This class provides an examination of land
cover and land use change science, addressing
the causes, impacts and projection of change.
Key concepts of land use science are presented
and recent research papers and case studies are
reviewed. Class consists of lectures, invited
presentations and individual student projects
and presentations.
GEOG 617 Field Course (3
credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: GEOG 601 or GEOG 617.
Formerly GEOG601.
Graduate field course consisting of preparatory
class, laboratory work, field instruction, field
data collection, recording and analysis. This
will be followed by preparation of a field
report of methods, data collection, and data
analysis results. Additionally, this will
complement other graduate classes and
provide skills that can be employed for
graduate thesis work.
GEOG 618 Seminar in
Geomorphology (3 credits)
Selected topics; this can include discussion of
empirical and theoretical research methods
applied to geomorp ho logical problems
including review of pertinent literature.
GEOG I 628 Seminar in
Climatology (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Selected topics in climatology chosen to fit the
individual needs of advanced students.
GEOG 632 Economic
Geography (3 credits)
Prerequisite: permission of department.
An advanced graduate level introduction to the
effects of geography on economic activities
and the effects of economic incentives,
institutions, and activities on the nature and
sustainability of human and environmental
geographic systems.
GEOG 635 Population and
Environment (3 credits)
Credit will be granted for only one of the
following: GEOG635 or GEOG788B.
Formerly GEOG788B.
438
Course explores the reciprocal relationship
between human and phyical systems that result
in changes in the environment. Focuses on the
roles of demographic variables of population
growth and migration and physical
envronmental variables from both a historic
and recent time frame. These processes will be
examined at various scales, from local changes
to global changes.
GEOG 636 Qualitative Methods
in Geography (3 credits)
Prerequisite: permission of department.
Formerly GEOG648C.
Use of qualitative methods for qualitative
geographic research. Design procedures and
analysis of qualitative studies are the focus of
the course. Includes readings and trying out
various methods. Students will be able to
present their own research and use it as an
example throughout the course.
GEOG 638 Seminar in
Biogeography (3 credits)
Prerequisite: 6 credits of biogeography,
ecology or related courses. Repeatable to 6
credits if content differs.
Topics in Biogeography: Biological aspects of
Geography. These may include ecology,
biodiversity, climate -vegetation interactions,
impacts of global change.
GEOG 639 Seminar in Physical
Geography (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Examination of selected themes and problems
in physical geography.
GEOG 642 Ecosystem
Processes and Human
Habitability (3 credits)
Prerequisite: GEOG442 or permission of
department. Formerly GEOG788C.
Biological and biogeographical processes
relevant to the capability of the earth's biota to
support the demands of its human populations.
GEOG 646 Watershed
Hydrology, Modeling, and Policy
(3 credits)
For GEOG majors only or permission of
department. Credit will be granted for only
one of the following: GEOG646 or
GEOG779A. Formerly GEOG779A.
Introduction to physical watershed
hydrology/nonpoint water pollution, basic
concepts of computer simulation models for
watershed science, and conservation issues
related to watershed management.
GEOG 648 Seminar in Cultural
Geography (3 credits)
Repeatable to 6 credits if content differs.
Examination of selected themes and problems
in cultural geography.
GEOG 652 Digital Image
Processing and Analysis (3
credits)
Prerequisite: GEOG506, GEOG579B or
equivalent. For MPS GIS and GC GIS
students only.
Digital image processing and analysis applied
to satellite and aircraft land remote sensing
data. Consideration is given to preprocessing
steps including calibration and geo
registration. Analysis methods include digital
image exploration, feature extraction thematic
classification, change detection, and
biophysical characterization. One or more
application examples may be reviewed.
GEOG 653 Spatial Analysis (3
credits)
Prerequisite: GEOG506K, GEOG579, or
equivalent. For MPS GIS and GC GIS
students only.
Methods of spatial analysis including
measuring aspects of geometric features and
identifying spatial patterns of geospatial
objects that are represented as point, line,
network, areal data, and 3-D surfaces.
GEOG 658 Seminar in Historical
Geography (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
An examination of themes and problems in
historical geography with reference to selected
areas.
GEOG 668 Seminar in Economic
Geography (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Examination of themes and problems in the
field of economic geography.
GEOG 671 Remote Sensing
Instruments and Observtions (3
credits)
Prerequisite: GEOG472 or equivalent; or
permission of department.
Detailed examination of land remote sensing
instruments, observatories and resultant
measurements in the optical portion of the EM
spectrum. Includes computer-based exercises
that examine the importance of data geo-
registration and radiometric calibration in land
measurements.
GEOG 672 Biophysics of Optical
Remote Sensing (3 credits)
Prerequisite: GEOG472 or equivalent; or
permission of department.
Biophysical principles, phenomena and
processes underlying multispectral remote
sensing in the optical portion of the EM
spectrum. Includes computer-based exercises
that explore the biophysical basis of land
patterns and dynamics observed in remote
sensing data.
GEOG 673 GIS Modeling (3
credits)
Prerequisite: GEOG306 and GEOG473 or
equivalent. Credit will be granted for only
one of the following: GEOG673 or
GEOG695. Formerly GEOG695.
Process modeling and spatial analysis within
the GIS context. Introducestheoretical
fundamentals and conceptual approaches to
frame and represent geographical phenomena
and spatial decision making.
GEOG 674 GIS Spatial
Databases (3 credits)
Prerequisite: GEOG473 or equivalent.
Credit will be granted for only one of the
following: GEOG674 orGEOG696.
Formerly GEOG696.
Introduces fundamental concepts and practical
skills required to design, implement and use
GIS databases. Students will learn to store and
represent geospatial data in databases, design
and create a spatial database, manage and
query geospatial data, and deliver and present
geospatial data.
GEOG 676 Advanced
Programming for Geography
and Remote Sensing (3 credits)
Prerequisite: GEOG376 or permission of
department.
Comprehensive instruction is provided in the
advanced use of a commercial programming
language and analysis tool used for scientific
programming and data visualization, with an
emphasis on applications in geography and
remote sensing or GIS.
GEOG 677 Internet GIS (3
credits)
Prerequisite: permission of department.
Recommended: GEOG676.
Online course delivers information on the use
of GIS applications on the Internet. Covers
hardware/software structure of the Internet, the
means for communicaton between Internet-
connected devices, applications that provide
GIS program and data, and performance and
security concerns.
GEOG 679 Seminar in Urban
Geography (3 credits)
Repeatable to 6 credits if content differs.
Post-industrial urbanization; urban planning
and management; metropolitan systems;
internal structure of the city; use of techniques
in urban locational research; transportation and
land use.
GEOG 688 Seminar in Third
World Devlopment (3 credits)
Selected topics in international development
for the advanced student. Core-periphery
spatial exchanges, location and accessibility
issues, resource constraints and opportunities,
planning for rural and agricultural
development, urbanization processes,
emerging regional patterns.
GEOG 694 Computerized Map
Projections and
Transformations (3 credits)
Prerequisite: GEOG 373 or equivalent in
computer science, or permission of
department.
Computer generated projections; techniques
for transforming one coordinate system to
another; software for producing different map
projections; mathematical and perceptual
problems in producing and using projections.
GEOG 695 Spatial Models (3
credits)
Prerequisite: GEOG 483 or equivalent; and
GEOG 605 or equivalent.
Mathematical and other models for varied
subject matter. Models for point, line, area,
surface spatial data contexts. Descriptive and
normative models. Aggregate and dis-
aggregate models. Tools for research,
planning, decision making. Information
systems context. Intuitive understanding
emphasized. Practical experience using several
computer tools.
GEOG 698 Seminar in
Cartography (1-6 credits)
Repeatable to 6 credits if content differs.
Selected topics; this can include: forensic
cartography, tactile maps, design with new
technologies, perception and cognitive
mapping, history of cartography, laboratory
management.
GEOG 699 Seminar in Computer
Cartography (3 credits)
Prerequisite: GEOG 373 or equivalent
course in computer science or permission
of department. Repeatable to 6 credits if
content differs.
439
Selected topics in computer- assisted
cartography: algorithms for linear
generalization, containing three-dimensional
mapping and continuous-time mapping.
GEOG 718 Seminar in
Integrative Studies (3 credits)
Prerequisite: permission of department.
Repeatable to 06 credits if content differs.
Selected topics integrating various areas of
study within the field of geography and/or
related disciplines.
GEOG 738 Seminar in Human
Geography (3 credits)
Prerequisite: permission of department.
Repeatable to 06 credits if content differs.
Selected topics in human geography.
GEOG 748 Seminar in Physical
Geography (3 credits)
Prerequisite: permission of department.
Repeatable to 06 credits if content differs.
Selected topics in physical geography.
GEOG 749 Seminar in
Biogeography (3 credits)
Prerequisite: permission of department.
Repeatable to 06 credits if content differs.
Selected topics in biogeography.
GEOG 778 Seminar in Remote
Sensing (3 credits)
Prerequisite: permission of department.
Repeatable to 06 credits if content differs.
Selected topics in remote sensing.
GEOG 779 Seminar in
Geographic Information Science
(3 credits)
Prerequisite: permission of department.
Repeatable to 06 credits if content differs.
Selected topics in geographic information
science.
GEOG 788 Selected Topics in
Geography (1-3 credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
Readings and discussion on selected topics in
the field of geography.
GEOG 789 Independent
Readings (1-3 credits)
Repeatable to 6 credits if content differs.
Independent reading as arranged between a
graduate faculty member and graduate student.
GEOG 790 Internship in
Geography (3 credits)
Field experience in the student's specialty in a
Federal, state, or local agency or private
business. Research paper required.
GEOG 793 Professional Project I
(3 credits)
Only open to students in MPS GIS
program. Credit will be granted for only
one of the following: GEOG793 or
GEOG797. Formerly GEOG797.
Implementing and reporting GIS projects is
one of required skills for professional GIS
practitioners. The course will introduce the
components, procedure and methods of
implementing, reporting and managing a GIS
project. Students will study published project
reports to learn the methods for designing a
GIS project. The final project will be a project
design for their individual projects to be
implemented in GEOG794, Professional
Project II.
GEOG 794 Professional Project
II (3 credits)
Open only to students in MPS GIS
program.
Implementing and reporting GIS projects is
one of the required skills fo r professional GIS
practitioners. This course will introduce the
components, procedure and methods of
implementing, reporting, and managing a GIS
project. Students will implement the project
proposal developed in GEOG793, Professional
Project I. The project will be a GIS application
that can be tested, demonstrating the student's
ability to manage and develop a GIS
application project in a real world situation.
GEOG 795 Professional
Practices Seminar (1 credits)
Restricted to students in MPS GIS
program.
Development and preparation of a resume,
selecting and helping reference writers,
conducting successful interviews, negotiating
an employment package, giving professional
presentations, proposal prepartion, writing
reports, codes of ethics and responsibilities.
Presentations from practitioners in GIS field.
Basic project management skills and strategies
in preparation for professional project.
GEOG 796 GIS Project
Management (3 credits)
Prerequisites: GEOG652, GEOG653, and
GEOG606. For MPS GIS and GC GIS
students only. Credit will be granted for
only one of the following: GEOG796 or
INFM706. Formerly INFM706.
Project management methodology is covered,
emphasizing implementing and integrating
GIS into broader projects. Topics include
project initiation, planning, scope, scheduling,
budgeting and risk management.
GEOG 797 Professional Project
(3 credits)
Prerequisite: GEOG795. Corequisite:
ENCE662 Open only to students in MPS
GIS program.
Data and materials can originate from an
internship (internal or external) or from
relevant work experience with current
employer. Under direction of faculty advisor,
students will prepare a project report
containing explanation of the requirements for
the work, technical account of the activities
undertaken, including literature review,
description of methods and approaches taken,
a critical discussion of results, along with
conclusions and recommendations developed
from the project. Final project will consist of a
full-fledged GIS application that is up and
running and can be tested, providing potential
employers with a portfolio demonstrating
student's ability to manage and develop a GIS
application in real world situations.
GEOG 798 Selected Topics in
Geography: Seminar Series (1
credits)
Repeatable to 6 credits if content differs.
Readings and discussions on selected topics in
the field of geography.
GEOG 799 Master's Thesis
Research (1-6 credits)
GEOG 898 Pre-Candidacy
Research (1-8 credits)
GEOG 899 Doctoral Dissertation
Research (1-8 credits)
Geology (GEOL)
GEOL 410 Industrial Rocks and
Minerals (3 credits)
Prerequisite: GEOL322. In addition, non-
degree-seeking students require the
permission of the instructor.
The origin; occurrence; mineralogy; extraction
and treatment technology; production and
deposit-evaluation of rocks and minerals used
in the construction, ceramic, chemical and
allied industries. Restricted to non-fuels, non-
metallic, non-gem materials. Field trips to
industrial locations are required.
GEOL 423 Optical Mineralogy (3
credits)
One hour of lecture and four hours of
laboratory per week. Prerequisites:
GEOL100 or GEOL120, GEOL1 10,
GEOL322, and one of the following:
{CHEM131 andCHEM132}, {CHEM135
andCHEM136}, orCHEM103. In
addition, non-degree-seeking students
require the permission of the instructor.
The optical behavior of crystals with emphasis
on the theory and application of the
petrographic microscope.
GEOL 436 Principles of
Biogeochemistry (3 credits)
Three hours of lecture per week.
Prerequisite: MATH140 orMATH220,
GEOL100 or GEOL120, GEOL322, and
one of the following: {CHEM131 and
CHEM132}, {CHEM135 and CHEM136},
or CHEM103. In addition, non-degree-
seeking students require the permission of
the instructor.
An introduction to the basic principles of
biogeochemistry including aspects of organic
geochemistry, biochemistry, microbiology,
global geochemical cycles, the origin of life
and paleoenvironmental evolution.
GEOL 437 Global Climate
Change: Past and Present (3
credits)
Prerequisite: MATH115 orMATH140;
GEOL100 or GEOL120, and one of the
following: {CHEM131 andCHEM132},
{CHEM135 andCHEM136},or
CHEM103. In addition, non-degree-
seeking students require the permission of
the instructor.
The goal of the course is to highlight the fact
that global climate change is part of the Earth's
past as well as of its present and future.
Changes in climate that have occurred in the
geologic past can be viewed as the Earth's
natural climate variability. These changes are
different from, though could be linked with,
historical and present anthropo genie ally-
induced climate change. We will discuss the
modern climate system, the factors capable of
forcing climate change on various time scales,
the geologic proxies of past climate change
and what these proxies tell us. Finally, we will
compare and contrast past climate change with
what is understood (and not understood) about
modern climate change.
GEOL 443 Petrology (4 credits)
Prerequisites: GEOL100 or GEOL120,
GEOL1 10, and GEOL322, and one of the
following: {CHEM131 andCHEM132},
{CHEM135 and CHEM136}, or
CHEM103. Corequisite: GEOL423 or
permission of department. In addition, non-
440
degree-seeking students require the
permission of the instructor.
Study of igneous and metamoiphic rocks:
petrogenesis, distributions, chemical and
mineralogical relations, macroscopic and
microscopic descriptions, geologic
significance.
GEOL 444 Low Temperature
Geochemistry (4 credits)
Three hours of lecture and three hours of
laboratory per week. Prerequisite:
MATH1 15; GEOL100; GEOL322; and one
of the following: CHEM103, {CHEM131
and CHEM132}, or {CHEM135 and
CHEM136}. In addition, non-degree-
seeking students require the permission of
the instructor,
Basic chemical principles, thermodynamics,
and kinetics of low -temperature inorganic and
organic geochemical reactions in a wide range
of surface environments. These geochemical
tools will be used to provide a context for
understanding elemental cycling and climate
change. Laboratories will include problem sets
as well as wet chemical and mass
spectrometric techniques used in low
temperature geochemistry.
GEOL 445 High Temperature
Geochemistry (4 credits)
Three hours of lecture and three hours of
laboratory per week. Prerequisite:
MATH1 15; GEOL100; GEOL322; and one
of the following: CHEM103, {CHEM131
andCHEM132}, or {CHEM135 and
CHEM136}. In addition, non-degree-
seeking students require the permission of
the instructor.
Review of chemical principles and their use in
understanding processes of Earth, and solar
system formation and differentiation. Topics
include nucleosynthesis and cosmochemical
abundances of elements, bonding and element
partitioning, equilibrium thermodynamics and
phase stabilities, radiogenic isotopes and
geochronology, kinetics, and diffusion.
GEOL 446 Geophysics (3
credits)
Prerequisite: MATH140, MATH141, and
PHYS141. In addition, non-degree-seeking
students require the permission of the
instructor.
Introduction to solid earth geophysics, heat
transfer, fluid flow, gravity, geomagnetism,
rock and mineral physics, seismology,
exploration geophysics. Basic knowledge of
integral and differential calculus is required.
GEOL 451 Groundwater (3
credits)
Prerequisites: MATH 140, GEOL 100 or
GEOL120, GEOL1 10 and one of the
following: {CHEM131 andCHEM132},
{CHEM135 and CHEM136}, or
CHEM103; or permission of department. In
addition, non-degree-seeking students
require the permission of the instructor.
Junior standing.
An introduction to the basic geologic
parameters associated with the hydrologic
cycle. Problems in the accumulation,
distribution, and movement of groundwater
will be analyzed.
GEOL 452 Watershed and
Wetland Hydrology (3 credits)
Prerequisite: permission of department. In
addition, non-degree-seeking students
require the permission of the instructor.
Junior standing.
Physical processes by which water moves in
watershed and wetland systems. Topics
include: precipitation, infiltration, flow in the
unsaturated zone, streamflow generation
processes, and groundwater flow.
GEOL 455 Marine Geophysics (3
credits)
Prerequisite: GEOL100 orGEOL120,
MATH140, MATH141; or permission of
department. In addition, non-degree-
seeking students require the permission of
the instructor. Credit will be granted for
only one of the following: GEOL455 or
GEOL489E. Formerly GEOL489E.
Plate tectonics, earthquakes and faulting,
isostasy and gravity, heat and mantle
dynamics, ocean ridges and transform faults,
hydrothermal vents, trenches and oceanic
islands, subduction zones, accretionary and
erosion wedges, sedimentary basins and
continental rifts. Exploration of the oceans
using geophysical methods.
GEOL 456 Engineering Geology
(3 credits)
Prerequisite: MATH141, PHYS141, and
GEOL100 or GEOL120; or permission of
department. In addition, non-degree-
seeking students require the permission of
the instructor. Credit will be granted for
only one of the following: GEOL456 or
GEOL489Z. Formerly GEOL489Z.
An overview of engineering geology with an
emphasis on physical understanding, of natural
hazards and natural resources. General
theories of stress and strain, failure criteria,
frictional stability, fluid flow in porous media
and poroelasticity are introduced. Quantitative
approaches on earthquakes, landslides, land
subsidence, and geotechnical aspects of oil/gas
exploration are discussed.
GEOL 457 Seismology (3
credits)
Prerequisite: GEOL100 orGEOL120,
GEOL110, MATH140, MATH141; or
permission of department. Recommended:
PHYS141,PHYS161,orPHYS171.In
addition, non-degree-seeking students
require the permission of the instructor.
Credit will be granted for only one of the
following: GEOL457 or GEOL489A.
Formerly GEOL489A.
General overview of the basics of seismology,
starting with wave propagation, seismic
reflection and refraction. Applications to the
determination of the seismic velocity and
anisotropy structure of the Earth. Earthquake
generation, postseismic deformation and creep
events, relation to faulting and plate tectonics.
GEOL 462 Geological Remote
Sensing (3 credits)
Prerequisite: One of the following:
GEOL100/1 10; GEOL120/1 10; or
GEOL103. In addition, non-degree-seeking
students require the permission of the
instructor.
An introduction to geologic remote sensing
including applications of aerial photographic
interpretation to problems in regional geology,
engineering geology, structural geology, and
stratigraphy. Films, filters, and criteria used in
selecting imagery are also discussed.
Laboratory exercises include measurements of
geologic parameters and compilation and
transference of data to base maps.
GEOL 471 Geochemical
Methods of Analysis (3 credits)
Prerequisite: One of the following:
{CHEM131 andCHEM132}, {CHEM135
andCHEM136}, orCHEM103; and
CHEM113. In addition, non-degree-
seeking students require the permission of
the instructor.
Principles and application of geochemical
analysis as applied to a variety of geological
problems. X-ray and optical spectroscopy, X-
ray diffraction, atomic absorption, electron
microprobe, and electron microscopy.
GEOL 472 Tectonics (3 credits)
Prerequisites: GEOL100 or GEOL120,
GEOL1 10, GEOL102, and GEOL341; or
permission of department. In addition, non-
degree-seeking students require the
permission of the instructor.
Study of the development of the lithosphere on
Earth and other rocky planets and moons.
Emphasis on student-led discussions.
Improvement of scientific writing.
GEOL 473 Origin and Evolution
of the Continents (3 credits)
Prerequisite: GEOL443 and GEOL445, or
permission of instructor. In addition, non-
degree-seeking students require the
permission of the instructor. Formerly
GEOL489I.
Introduction to current theories regarding the
origin and evolution of the continents.
Emphasis on development of critical reading
and reasoning skills, and improvement of
verbal and written communication.
GEOL 489 Special Topics (3
credits)
Prerequisites: For GEOL majors only;
minimum of Junior standing and a least 2
Upper Level GEOL courses with at least a
third GEOL course and GEOL393
concurrent.
Recent advances in geology.
GEOL 490 Geology Field Camp
(6 credits)
Prerequisite: GEOL341 and GEOL443. In
addition, non-degree-seeking students
require the permission of the instructor.
Intense field geology course taught off campus
during the summer. Students describe and
compile maps of formations and structures
from outcrops, subsurface, and remotely
sensed data. Special fees required.
GEOL 491 Environmental
Geology Field Camp (3-6
credits)
Prerequisites: GEOL341 and GEOL342
and GEOL45 1 or permission of
department. In addition, non-degree-
seeking students require the permission of
the instructor. Credit will be granted for
only one of the following: GEOL490 or
GEOL491.
Intensive field course designed for students of
environmental geology. Students will learn to
make maps, to describe soil profiles and site
characteristics, to monitor hydrologic and
groundwater conditions, and to measure
geologic structures and stratigraphic sections.
GEOL 497 Recent Advances:
Geology (3 credits)
Restricted to Geology majors with a GPA
441
of 3.0 or better in both overall and in all
courses required for the major.
Prerequisite: Junior standing and a
minimum of 2 upper level GEOL courses
with at least a third GEOL course; and
GEOL393 concurrent. Credit will be
granted for only one of the following:
GEOL497 or GEOL489H. Formerly
GEOL489H.
A survey of important recent advances in
geological sciences in the context of the
methods and practices of scientific research.
GEOL 499 Special Problems in
Geology (1-3 credits)
Prerequisites: GEOL100 or GEO120,
GEOL1 10, GEOL102; or equivalent; and
permission of department. In addition, non-
degree-seeking students require the
permission of the instructor.
Intensive study of a special geologic subject or
technique selected after consultation with
instructor. Intended to provide training or
instruction not available in other courses
which will aid the student's development in his
or her field of major interest.
GEOL 614 Thermodynamics of
Geological Processes (3
credits)
Prerequisites: MATH 141; and CHEM 113;
and GEOL 322; and PHYS 142. In
addition, non-degree-seeking students
require the permission of the instructor.
Thermodynamics and its application to
problems in mineralogy, petrology and
geochemistry. Systematic development of the
laws of thermodynamics and the principles of
chemical equilibrium as applied to geological
problems.
GEOL 621 Mineralogy of Ore-
Forming Sulfides (3 credits)
Prerequisite: GEOL322 or equivalent. In
addition, non-degree-seeking students
require the permission of the instructor.
A systematic study of chemical compositions,
crystal structures, and paragenetic relations of
major ore-forming sulfides.
GEOL 622 Mineralogy of the
Rock-Forming Silicates (3
credits)
Prerequisite: GEOL422 and CHEM481 or
equivalent. In addition, non-degree-seeking
students require the permission of the
instructor.
A systematic study of the structure,
polymorphic relations, composition and phase
transformations of the major rock forming
silicates.
GEOL 623 Ore Microscopy (3
credits)
Prerequisite: GEOL423. Pre- or
corequisite: GEOL653. In addition, non-
degree-seeking students require the
permssion of the instructor.
A systematic study of general principles of
reflected light optics and their application to
the reflected light polarizing microscope as
well as techniques for identifying common ore
mineral in polished section.
GEOL 641 Advanced Structural
Geology (3 credits)
Non-degree-seeking students require the
permission of the instructor.
A detailed treatment of stress, strain,
deformation of rocks, and resulting structures
on microscopic, mesoscopic, and macroscopic
scales; consideration of world examples of
structural variation; concept and problems of
plate tectonics; all designed as a complete
study of structural geology.
GEOL 643 Igneous and
Metamorphic Petrology (3
credits)
Prerequisite: GEOL443. In addition, non-
degree-seeking students require the
permission of the instructor. Credit will be
granted for only one of the following:
GEOL643 and GEOL789P. Formerly
GEOL789P.
Study of igneous and metamoiphic rocks;
environments, conditions and processes of
metamorphism and of melting and
crystallization.
GEOL 644 Metamorphic
Petrology (3 credits)
Prerequisite: GEOL443 and CHEM481. In
addition, non-degree-seeking students
require the permission of the department.
Analysis of the physical and chemical aspects
of metamorphic processes. Suites of
metamorphic rocks by the use of chemical,
mineralogic, petro graphic, and field data.
GEOL 646 Crustal Petrology (3
credits)
Prerequisite: GEOL643 or GEOL644 or
permission of instructor. Recommended:
GEOL 641. In addition, non-degree-
seeking students require the permission of
the department.
An integrated approach to the detailed
understanding of the petrology of the earth's
continental crust and the processes which act
upon it and within it.
GEOL 650 Isotope and Trace
Element Geochemistry (3
credits)
Prerequisite: GEOL443 or permission of
department. In addition, non-degree-
seeking students require the permission of
the instructor.
Trace elements and isotopes in geology,
including modern applications in
geochronology and petrogenesis.
GEOL 652 Advanced Watershed
and Wetland Hydrology (3
credits)
Prerequisite: GEOL452 or permission of
department. In addition, non-degree-
seeking students require the permission of
the instructor.
Physical and chemical processes in watershed
and wetland systems: with an emphasis on
redox reactions.
GEOL 653 Advanced Problems
in Economic Geology (3
credits)
Prerequisite: GEOL453. In addition, non-
degree-seeking students require the
permission of the instructor.
A systematic study of particular ore deposit
types or areas of mineralization, primarily
involving major economically important
metals. Geologic setting, mineralogy and form
and character of the ore bodies, chemical and
physical factors affecting source, transport and
deposition of ore forming fluids.
GEOL 654 Fluvial
Geomorphology Seminar (3
credits)
Recommended: GEOL340 and GEOL452.
In addition, non-degree-seeking students
require the permission of the instructor.
Credit will be granted for only one of the
following: GEOL654 or GEOL789B.
Formerly GEOL789B.
Fluvial geomorphology is the study of the
movement of water and sedimentin stream
channels. This includes: formation of
channels, open channel hydraulics, sediment
transport or bedload and suspended load, river
morphology and landscape evolution. The
course is designed for graduate students and
advanced undergraduates.
GEOL 655 Marine Geophysics (3
credits)
Prerequisite: permission of department.
Recommended: (GEOL100 orGEOL120)
and MATH141. In addition, non-degree-
seeking students require the permission of
the department. Credit will be granted for
only one of the following: GEOL672 or
GEOL789E. Formerly GEOL789E.
An introduction to geophysical aspects of
global tectonics. Quantitative geophysical
approached are introduced for: past and
present plate motions, seismology and interior
of the Earth, gravity and isostasy, heat and
mantle dynamics. Ocean ridges, hydrothermal
vents, transform faults, oceanic core complex,
ocean trenches and subduction zones,
accretionary anderosion wedges, rift and rift
margins, convergence and collisons,
sedimentary basins.
GEOL 656 Engineering and
Environmental Geology (3
credits)
Non-degree-seeking students require the
permission of the instructor.
The relationship of humans to the planet earth;
their increasing colonization based upon
available food, materials, and energy;
environmental consequences of resource
extraction; and the desirability of planetary
management policy as a long-term goal.
GEOL 657 Seismic Wave
Propagation (3 credits)
Prerequisite: permission of department.
Recommended: (GEOL100 or GEOL120),
and PHYS 161, and (MATH241 and
MATH246). In addition, non-degree-
seeking students require the permission of
the instructor. Credit will be granted for
only one of the following: GEOL657 or
GEOL789A. Formerly GEOL789A.
A description of the physics of seismic wave
propagation and their applications to the
determination of the structure of the Earth and
the mechanics of earthquakes.
GEOL 660 Glacial and
Quaternary Geology (3 credits)
Non-degree-seeking students require the
permission of the instructor.
The dynamics, form and thermal
characteristics of ice as related to glacial
structures. Quaternary deposition and strata in
relation to older strata as well as modern day
sediments. The general lithology, morphology,
and classification of till. Specific emphasis on
the classical Wisconsin stage of glaciation of
North America.
GEOL 662 Clay Minerals and
Clay Diagenesis (3 credits)
Prerequisite: GEOL322 orGEOL342. In
442
addition, non-degree-seeking students
require the permission of the instructor.
Characterization of clay minerals on the basis
of their crystal structures, chemical
compositions, and physical properties.
Examination of diagenetic reactions of each of
the clay mineral groups in modern sediments,
shales, and sandstones.
GEOL 670 Physical
Oceanography (3 credits)
Prerequisite: permission of department. In
addition, non-degree-seeking students
require the permission of the instructor.
Also offered as AOSC670. Credit will be
granted for only one of the following:
GEOL670 or AOSC670.
Ocean observations. Water masses, sources of
deep water. Mass, heat, and salt transport,
geochemical tracers. Western boundary
currents, maintenance of the termocline.
Coastal and estuarine processes. Surface
waves and tides. Ocean climate.
GEOL 671 Analytical Methods in
Mineralogy (3 credits)
Prerequisite: GEOL422; and CHEM471. In
addition, non-degree-seeking students
require the permission of the instructor.
An intensive study in the operation and
application of instrumentation in mineralogical
problems. Emphasis on designing and testing
methods of analysis for use in the student's
research problems in geology.
GEOL 672 Tectonics (3 credits)
Prerequisite: GEOL341; or permission of
department. In addition, non-degree-
seeking students require the permission of
the department. Credit will be granted for
only one of the following: GEOL672 or
GEOL789C. Formerly GEOL789C.
The study of the development of the
lithosphere on Earth and other rocky planets
and moons. Emphasis on the student led
discussion and improvement of scientific
writing.
GEOL 680 The Fluid Earth (3
credits)
Prerequisite: GEOL466; knowledge of
vector calculus, partial differential
equations, and MATLAB; or permission of
department. Credit will be granted for only
one of the following: GEOL680 or
GEOL789J. Formerly GEOL789J.
The dynamics of the Earths interior derived
from the governing principles of fluid
mechanics and no n -equilibrium
thermodynamics, and their applications to
problems of Geophysics.
GEOL 681 Physics of Planetary
Interiors and Surfaces (3
credits)
Prerequisite: GEOL446; Advanced
undergraduate geophysics, astronomy or
geochemistry; or permission of department.
Credit will be granted for only one of the
following: GEOL681 orGEOL789X.
Formerly GEOL789X.
Formation of planets in the solar system;
segregation and core formation in terrestrial
planets; formation of early atmosphere;
tectonics and volcanism; geochemical and
cosmochemical constraints; planetary
geomorphology.
GEOL 789 Recent Advances in
Geology (2-4 credits)
Recent advances in geology research.
GEOL 798 Seminar in Geology
(1-3 credits)
Repeatable to 9 credits if content differs.
Discussion of special topics in current
literature in all phases of geology.
GEOL 799 Master's Thesis
Research (1-6 credits)
GEOL 898 Pre-Candidacy
Research (1-8 credits)
GEOL 899 Doctoral Dissertation
Research (1-8 credits)
Germanic Studies
(GERM)
GERM 401 Advanced
Conversation: Germany within
Europe (3 credits)
Prerequisite: GERM302 or equivalent.
Development of fluency in spoken German.
Discussion of contemporary issues related to
Germany in context of Europe.
GERM 403 Advanced
Composition: German Cultural
and Social Issues (3 credits)
Prerequisite: GERM302 or equivalent.
Advanced instruction in writing skills.
Contemporary and/or historical treatment of
cultural and social issues.
GERM 405 Stylistics (3 credits)
Prerequisite: GERM302 or equivalent.
Stylistic analysis of oral and written German
both literary and non-literary. Intensive study
of vocabulary and syntax. Dictionary and
composition exercises.
GERM 415 German/English
Translation I (3 credits)
Does not fulfill major requirements in
German. Not open to students who have
completed GERM101, GERM 102,
GERM103, GERM201, GERM202,
GERM203, GERM204, GERM301, or
GERM302.
An intensive presentation of German grammar
limited exclusively to reading skill; graded
readings in the aits and sciences. Instruction in
English; cannot be used to satisfy the arts and
humanities foreign language requirement.
GERM 416 German/English
Translation II (3 credits)
Prerequisite: GERM415 or equivalent.
Written translation of materials from the
student's field of study. Discussion of basic
problems of German-to-English translation,
with examples from students' projects.
Instruction in English. Cannot be used to
satisfy the arts and humanities foreign
language requirement.
GERM 419 Selected Topics in
German Language Study (3
credits)
Prerequisite: GERM302 and permission of
department. Repeatable to 6 credits if
content differs.
GERM 421 Literature of the
Middle Ages (3 credits)
Prerequisite: GERM320, GERM321, or
GERM322; or permission of department.
German literature from the 8th through the
15th centuries. Readings include Old High
German texts; the German heroic, courtly and
popular epic; Minnesang, Meistersang, the late
Medieval epic: folk literature of the late
Middle Ages. Read in modern German
translation.
GERM 422 From the
Reformation Through the
Baroque (3 credits)
Prerequisite: GERM320, GERM321, or
GERM322; or permission of department.
Readings of representative authors from the
reformation and the period of humanism
through the baroque (ca. 1450-1700). Taught
in German.
GERM 423 From Enlightenment
through Storm and Stress (3
credits)
Prerequisite: GERM320, GERM321. or
GERM322; or permission of department.
Readings of representative authors from the
Enlightenment (1720- 1785), the Age of
Sentimentalism (1740-1780), and Storm and
Stress (1767-1785). Taught in German.
GERM 424 Classicism (3
credits)
Prerequisite: GERM320, GERM321. or
GERM322; or permission of department.
Readings of representative authors from the
Age of Classicism (1786-1832). Taught in
German.
GERM 431 Romanticism and
Biedermeier (3 credits)
Prerequisite: GERM320, GERM321, or
GERM322; or permission of department.
Readings of representative authors from the
periods of Romanticism (1798-1835) and
Biedermeier (1820-1850). Taught in German.
GERM 432 Junges Deutschland
and Realism (3 credits)
Prerequisite: GERM320, GERM321. or
GERM322; or permission of department.
Readings of representative authors from the
periods of Junges Deutschland (1830-1850)
and Realism (1850-1890). Taught in German.
GERM 433 Naturalism and Its
Counter Currents (3 credits)
Prerequisite: GERM320, GERM321. or
GERM322; or permission of department.
Readings of representative authors from the
period of naturalism and its counter currents
(1880-1920). Taught in German.
GERM 434 Expressionism to
1945 (3 credits)
Prerequisite: GERM320, GERM321, or
GERM322; or permission of department.
Readings of representative authors from
Expressionism through the period between the
wars to the contrast of Nazi and Exile
Literature (ca. 1910-1945). Taught in German.
GERM 435 From 1945 to the
Present (3 credits)
Prerequisite: GERM320, GERM321, or
GERM322; or permission of department.
Readings of representative authors from
Germany, Austria, and Switzerland in the
period from the end of World War II to the
present. Taught in German.
GERM 436 The Usual Suspects:
Criminals in German Literature
and Film (3 credits)
Prerequisites: GERM320 and GERM322.
An examination of how historical, cultural and
political discourses in German-speaking
countries influence social norms and criteria
forjudging what is considered socially
acceptable or "deviant". Texts and films span
443
from the 18th to 21st centuries. Taught in
German.
GERM 439 Selected Topics in
German Literature (3 credits)
Prerequisite: GERM320, GERM321, or
GERM322; or permission of department.
Repeatable to 6 credits if content differs.
Special study of an author, school, genre, or
theme. Taught in German.
GERM 449 Selected Topics in
Germanic Studies (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Study of a linguistic, literary or cultural topic
in Yiddish, Netherlandic, or Scandinavian
studies.
GERM 461 Reading Swedish,
Danish and Norwegian I (3
credits)
Not open to students who have completed
GERM148S, GERM149S, GERM148D,
GERM149D, GERM148N or
GERM149N.
Develops reading facility in three languages in
one semester, using modern Scandinavian
texts from a variety of fields.
GERM 463 The World of the
Viking Sagas (3 credits)
An in-depth analysis of the Old Norse/Viking
sagas of Medieval Scandinavia as literature
historiography and folklore. Readings include
Sagas of the Icelanders, Kings Sagas and
Heroic/Mythical Sagas. Taught in English.
GERM 472 Introduction to
Germanic Philology (3 credits)
Prerequisite: GERM202 or equivalent.
Reconstructed proto-Germanic and surveys of
Gothic, Old Norse, Old English, Old Saxon.
The development of High German from the
Old High German period through Middle High
German to modern German; a short
introduction to modern German dialectology.
Taught in English.
GERM 473 Variation in
Contemporary German
Language (3 credits)
Prerequisite: GERM302 or permission of
instructor. Also offered as GERM673.
Credit will be granted for only one of the
following: GERM473 or GERM489M or
GERM673. Formerly GERM489M.
Examines the unique, multilingual society that
is modern Germany, exploring issues such as
regional varieties, gendered language,
language reform (and resistance to it), public
and media speech, the influence of American
English on colloquial speech and in specific
fields, and the problems of immigrant
communities acquiring both dialect and
standard German.
GERM 475 Old Norse (3 credits)
The language of the old Icelandic saga, the
Eddas and Skaldic poetry. Reading of texts in
the original; historical development of Old
Norse and its role in the Germanic language
family. No knowledge of German or a
Scandinavian language required. Taught in
English.
GERM 479 Selected Topics in
Germanic Philology (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Selected topics such as comparative Germanic
studies, Old Norse language or readings in Old
Norse literature, modern German dialectology.
GERM 489 Selected Topics in
Area Studies (1-3 credits)
Prerequisite: GERM302 or equivalent or
permission of department. Repeatable to 6
credits if content differs.
GERM 498 Honors Thesis
Writing (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Required for students pursuing departmental
honors in Germanic languages and literatures.
Under the direction of a German department
faculty member, students write their honors
theses.
GERM 499 Directed Study (1-3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
GERM 601 The Structure of
German (3 credits)
Prerequisite: Advanced knowledge of
German required.
An introduction to the phonetics and
phonology of Modern German. Contrasting
analysis of the sound systems of German and
English. Tools and techniques for teaching the
pronunciation of German.
GERM 618 College Teaching of
German (1 credits)
Repeatable to 3 credits. Formerly
GERM611.
Instruction, demonstration and classroom
practice under supervision of modern
procedures in the presentation of elementary
German courses to college age students.
GERM 620 Methods of German
Literary Studies I: Theory (3
credits)
History, methods and concepts of German
literary studies. The teaching modules include
a general introduction to "Germanistik," an in-
depth discussion of literary theory and
criticism, and the typology of genres.
GERM 630 Methods of German
Literary Studies II: Practical
Application (3 credits)
Prerequisite: GERM 620 or permission of
instructor.
Application of various theoretical approaches
to German literary studies introduced in
GERM 620.
GERM 631 German Lyric Poetry
(3 credits)
An exposition of the genie of lyric poetry, its
metrical and aesthetic background, illustrated
by characteristic examples from the Middle
Ages to the present.
GERM 632 The German Novelle
(3 credits)
Study of the development of the genre from
the 18th century to the present.
GERM 633 The German Novel (3
credits)
The theory and structure of the German novel
from the Baroque to the present.
GERM 634 German Drama (3
credits)
An introduction to the theory and structure of
the German drama from the Baroque to the
present with extensive interpretation of
characteristic works.
GERM 671 Gothic, Old High
German, Middle High German I
(3 credits)
The first semester of a two-semester practicum
in reading Gothic, Old and Middle High
German, with emphasis on linguistic analysis.
GERM 673 Variation in
Contemporary German (3
credits)
Credit will be granted for only one of the
following: GERM 489L, GERM 673, or
GERM 689L.
Examines the unique, multilingual society that
is modern Germany, exploring issues such as
regional varieties, gendered language,
language reform ( and resistance to it), public
and media speech, the influence of American
English on colloquial speech and in specific
fields, and the problems of immigrant
communities acquiring both dialect and
standard German. This may count for the
upper-division requirement of the German
major.
GERM 689 Special Topics - M.A.
Level (1-3 credits)
Repeatable to 6 credits if content differs.
M.A.-level study of a literary, linguistic or
cultural topic in German or Germanic studies.
GERM 798 Master's Independent
Study (1-3 credits)
Prerequisite: consent of instructor.
Repeatable to 06 credits if content differs.
GERM 799 Master's Thesis
Research (1-6 credits)
GERM 818 Seminar: The Middle
Ages (3 credits)
Repeatable to 9 credits if content differs.
Study of one or more representative authors or
works of the Middle Ages.
GERM 819 Seminar: The 16th
and 17th Centuries (3 credits)
Repeatable to 9 credits if content differs.
The German literature of the Humanists, the
Reformation and the Baroque as illustrated by
study of one or more authors of the 16th or
17th centuries.
GERM 828 Seminar: The 18th
Century (3 credits)
Repeatable to 9 credits if content differs.
Study of one or more authors from the
Enlightenment, Sentimentalism, Stress, or
Classicism periods.
GERM 829 Seminar: The 19th
Century (3 credits)
Repeatable to 9 credits if content differs.
Study of one or more authors of Romanticism,
Biedermeier, Young Germany or Realism.
GERM 838 Seminar: The 20th
Century (3 credits)
Repeatable to 9 credits if content differs.
Study of a literary movement or of one or
more authors from the period of Naturalism to
the present.
GERM 839 Seminar: Special
Topics (3 credits)
Repeatable to 9 credits if content differs.
Study of a topic of a general nature and not
limited to any specific century.
GERM 879 Seminar in Germanic
Philology (3 credits)
Repeatable to 9 credits if content differs.
In depth study of a topic in Germanic or Indo-
444
European philology comparative Germanic
grammar, runology, dialect geography, Eddie
or Skaldic poetry, Indo-European studies.
GERM 888 Doctoral Independent
Study (1-3 credits)
Prerequisite: permission of instructor.
Repeatable to 06 credits if content differs.
GERM 889 Seminar in Germanic
Area Studies (3 credits)
Repeatable to 9 credits if content differs.
Comprehensive study of a selected topic in
German or Germanic area studies: history of
ideas, cultural history, Germanic literatures
other than German, folk literature and folklore.
GERM 898 Pre-Candidacy
Research (1-8 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits if content differs.
GERM 899 Doctoral Dissertation
Research (1-8 credits)
Greek (GREK)
GREK 402 Greek Philosophers
(3 credits)
GREK 403 Greek Tragedy (3
credits)
GREK 415 Homer (3 credits)
Prerequisite: permission of department.
Extensive readings in Greek from the Iliad and
the Odyssey, with special attention to the
features of Homeric style and the similarities
and differences between the two epics.
GREK 472 History and
Development of the Greek
Language (3 credits)
Prerequisite: permission of instructor.
Mastery of ancient Greek through grammar
review, prose composition, and analysis of
historical developments in Greek writers'
modes of expression.
GREK 488 Greek Readings (3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
The reading of one or more selected Greek
authors. Reports.
GREK 499 Independent Study in
Greek Language and Literature
(1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
GREK 602 Plato and Aristotle (3
credits)
Readings from the works of Plato and
Aristotle: an examination of their philosophies
and literary qualities.
GREK 603 Greek Tragedy (3
credits)
The reading of two tragedies of the Athenian
tragedians. Detailed discussion of historical
background, literary art, thought, and the
circumstances and manner of their production.
Other tragedies will be read in English.
GREK 604 Homer (3 credits)
The extensive and intensive reading of Homer,
with concentration on one of his two epics.
Discussion of the language, artistic qualities,
and thought of the poems, and of modern
views concerning their orgin and literary
qualities.
GREK 606 Greek Historians (3
credits)
Survey of the Greek historians, concentrating
on Herodotus and Thucydides, contrasting the
two historians in the areas of subject, methods
of research, composition, and achievement.
GREK 672 History and
Development of the Greek
Language (3 credits)
Prerequisite: permission of instructor.
Mastery of ancient Greek through grammar
review, prose composition, and analysis of
historical developments in Greek writers'
modes of expression.
GREK 688 Special Topics in
Greek Literature (3 credits)
Repeatable to 9 credits if content differs.
GREK 699 Independent Study in
Greek Literature (1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
GREK 799 Master's Thesis
Research (1-6 credits)
Government and
Politics (GVPT)
GVPT 401 Problems of World
Politics (3 credits)
Prerequisite: GVPT200. Junior standing.
For GVPT majors only.
A study of governmental problems of
international scope, such as causes of war,
problems of neutrality, and propaganda.
Students are required to report on readings
from current literature.
GVPT 402 International Law (3
credits)
Prerequisite: GVPT200. Junior standing.
For GVPT majors only.
A study of the basic character, general
principles and specific rules of international
law, with emphasis on recent and
contemporary trends in the field and its
relation to other aspects of international
affairs.
GVPT 403 Law, Morality, War
and Terrorism (3 credits)
Prerequisite: GVPT200. For GVPT majors
only.
An exploration of the political and moral
concerns involved in the use or threat of use of
force in modern international affairs and
diplomacy. Topics of intensive study include,
among others, comparative and international
laws governing terrorism and counter-
terrorism, jus ad bellum, jus en bello,
preemptive war, institutional legal processes
for promoting the use of and enforcing
international conflict resolution and arbitrary
procedures to prevent or control international
violence, and the roles of international courts
in trials of war criminals and terrorists.
GVPT 404 Private International
Law (3 credits)
Prerequisite: GVPT200. Recommended:
GVPT402. Junior standing. For GVPT
majors only.
An introduction to private international law,
defined as those substantive laws that a nation
or nations have applied to private transactions
involving transnational relationships. Private
international law is often called the "conflict
of laws" because it almost always arises to
deal with the existence of a number of separate
legal systems in the various states, each
practicing their own 'municipal law' in ways
that invariably raise real and potential conflicts
requiring accommodation and cooperation.
GVPT 405 Defense Policy and
Arms Control (3 credits)
Prerequisite: GVPT200. For GVPT majors
only.
Contemporary issues of military strategy and
international security are covered, including:
nuclear war, conventional (limited war),
guerrilla insurgency, arms control,
disarmament, moderation of war, defense
policy processes, and defense economics.
GVPT 406 International
Organizations (3 credits)
Prerequisite: GVPT200. Junior standing.
For GVPT majors only. Credit will be
granted for only one of the following:
GVPT309B or GVPT406. Formerly
GVPT309B.
A basic introduction to the full range of
international organizations that have come into
being over the past century and one-half,
including those that aspire to be universal or
global, those with a geopolitical or regional
focus, and those that address specific structural
or functional areas of human endeavor or issue
areas.
GVPT 407 International Political
Economy (3 credits)
Prerequisite: GVPT200. Junior standing.
For GVPT majors only.
Introduces the field of international political
economy, which analyzes the ways in which
economic and political changes produce both
economic and political reactions.
GVPT 409 Seminar in
International Relations and
World Politics (3 credits)
Prerequisite: GVPT200. Junior standing.
For GVPT majors only. Repeatable to 6
credits if content differs.
Reading, writing, and research on topics in
international relations and world politics. Both
substantive issues and methodological
approaches will be considered.
GVPT 419 Seminar in Public
Policy (3 credits)
Prerequisite: GVPT170 and GVPT241.
Recommended: GVPT270. For GVPT
majors only. Repeatable to 6 credits if
content differs.
Reading, writing, and research on topics in
public policy. Both substantive issues and
methodological approaches will be considered.
GVPT 422 Quantitative Political
Analysis (3 credits)
Prerequisite: GVPT 170 and GVPT241.
Recommended: GVPT220. For GVPT
majors only. Credit will be granted for only
one of the following: BIOM301,
BMGT230, ECON321, EDMS451,
GEOG305, GVPT422, PSYC200, or
SOCY201.
Introduction to quantitative methods of data
analysis, including selected statistical
methods, block analysis, content analysis, and
scale construction.
GVPT 423 Elections and
Electoral Behavior (3 credits)
Prerequisite: GVPT170 and GVPT241. For
GVPT majors only.
An examination of various topics relating to
elections; the focus includes the legal structure
under which elections are conducted, the
445
selection and nomination process, the conduct
of election campaigns, and patterns of political
participation and voting choice in different
types of elections.
GVPT 424 Topics in Formal
Theories of Political Behavior
and Politics (3 credits)
Prerequisite: GVPT221 and GVPT241. For
GVPT majors only.
The focus of this course will vary both by its
theoretical core and its applications. The
theories are likely to be those of games, social
choice, and voting. The applications will
usually be to problems of distributive and
social justice, community organizing,
responsive public policy, institutional design,
alliance and coalition formation, etc. Some of
the topics will involve research projects.
GVPT 426 Public Opinion (3
credits)
Prerequisite: GVPT170 and GVPT241.
Recommended: GVPT220. Junior standing.
For GVPT majors only.
An examination of public opinion and its
effect on political action, with emphasis on
opinion formation and measurement,
propaganda and pressure groups.
GVPT 427 Political Sociology (3
credits)
Prerequisite: GVPT170 and GVPT241.
Recommended: GVPT220. For GVPT
majors only.
A study of the societal aspects of political life
including selected aspects of the sociology of
group formation and group dynamics, political
association, community integration and
political behavior.
GVPT 428 Topics in Formal
Theories of Political Behavior
and Politics (3 credits)
Prerequisite: GVPT221 and GVPT241. For
GVPT majors only. Repeatable to 6 credits
if content differs.
An evaluation of theories of political behavior
such as game, social choice and voting theory,
and their applications to problems of
distribution and social justice, community
organizing, responsive public policy,
institutional design, and alliance and coalition
formation.
GVPT 429 Problems in Political
Behavior (3 credits)
Prerequisite: GVPT241. Recommended:
GVPT220. For GVPT majors only.
The problem approach to political behavior
with emphasis on theoretical and empirical
studies on selected aspects of the political
process.
GVPT 431 Introduction to
Constitutional Law (3 credits)
Prerequisite: GVPT170 and GVPT241.
Junior standing. For GVPT majors only.
A systematic inquiry into the general
principles of the American constitutional
system, with special reference to the role of
the judiciary in the interpretation and
enforcement of the federal constitution.
GVPT 432 Civil Rights and the
Constitution (3 credits)
Prerequisite: GVPT241 and GVPT331. For
GVPT majors only.
A study of civil rights in the American
constitutional context, emphasizing freedom of
religion, freedom of expression, minority
discrimination, and the rights of defendants.
GVPT 433 The Judicial Process
(3 credits)
Prerequisite: GVPT241 and GVPT331. For
GVPT majors only.
An examination of judicial organization in the
United States at all levels of government, with
some emphasis on legal reasoning, legal
research and court procedures.
GVPT 434 Race Relations and
Public Law (3 credits)
Prerequisite: GVPT241 and GVPT331. For
GVPT majors only.
A political and legal examination of the
constitutionally protected rights affecting
racial minorities and of the constitutional
power of the federal courts, congress, and the
executive to define, protect and extend these
rights.
GVPT 436 The Legal Status of
Women (3 credits)
Prerequisite: GVPT241 and GVPT331. For
GVPT majors only. Also offered as
WMST436. Credit will be granted for only
one of the following: GVPT436 or
WMST436.
An examination of judicial interpretation and
application of common, statutory, and
constitutional law as these affect the status of
women in American society.
GVPT 439 Seminar in Public
Law (3 credits)
Prerequisite: GVPT170 and GVPT241.
Recommended: GVPT331. Junior standing.
For GVPT majors only. Repeatable to 6
credits if content differs.
Reading, writing, and research on topics in
public law. Both substantive issues and
methodological approaches will be considered.
GVPT 441 History of Political
Theory: Ancient and Medieval (3
credits)
Prerequisite: GVPT241. Junior standing.
For GVPT majors only.
A survey of the principal political theories set
forth in the works of writers before
Machiavelli.
GVPT 442 History of Political
Theory-Medieval to Recent (3
credits)
Prerequisite: GVPT241. For GVPT majors
only.
A survey of the principal theories set forth in
the works of writers from Machiavelli to
Nietzsche.
GVPT 443 Contemporary
Political Theory (3 credits)
Prerequisite: GVPT241. For GVPT majors
only.
A survey of the principal political theories and
ideologies set forth in the works of writers
from Karl Marx to the present.
GVPT 444 American Political
Theory (3 credits)
Prerequisite: GVPT170 and GVPT241. For
GVPT majors only.
A study of the development and growth of
American political concepts from the Colonial
period to the present.
GVPT 445 Marxism and
Postmarxism (3 credits)
Prerequisite: GVPT100.
The study of Marxist thought and an
assessment of the critical transformations and
reassessments of the theory and practice of
Marxism.
GVPT 448 Non-Western Political
Thought (3 credits)
Prerequisite: GVPT241 ; permission of
department required for repeat. For GVPT
majors only.
Examination of works by major authors and
general themes of political thought originating
in Asia, the Middle East, and Africa. This is
not a survey of all non-Western political
thought, but a course to be limited by the
professor with each offering.
GVPT 449 Seminar in Political
Philosophy (3 credits)
Prerequisite: GVPT241. For GVPT majors
only. Repeatable to 6 credits if content
differs.
Reading, writing, and research on topics in
political philosophy. Both substantive issues
and methodological approaches will be
considered.
GVPT 450 Comparative Study of
Foreign Policy Formation (3
credits)
Prerequisite: GVPT200. For GVPT majors
only.
The opportunity to learn the theoretical
underpinnings of foreign policy decision-
making and to apply this knowledge in a
simulation of a "real world" negotiation.
GVPT 453 Recent East Asian
Politics (3 credits)
Prerequisite: GVPT200. For GVPT majors
only.
The background and inteipretation of recent
political events in East Asia and their
influence on world politics.
GVPT 454 Seminar in the
International Relations of China
(3 credits)
Prerequisite: GVPT200. Junior standing.
For GVPT majors only. Credit will be
granted for only one of the following:
GVPT409D or GVPT454. Formerly
GVPT409D.
Explores the foreign relations behavior of the
People's Republic of China, with focus on the
contemporary era.
GVPT 455 Contemporary Middle
Eastern Politics (3 credits)
Prerequisite: GVPT200; and GVPT280 or
GVPT282. For GVPT majors only.
A survey of contemporary development in the
international politics of the Middle East
nations in the world affairs.
GVPT 456 The Politics of
Terrorism (3 credits)
Prerequisite: GVPT200. For GVPT majors
only. Credit will be granted for only one of
the following: GVPT456 or GVPT459T.
Formerly GVPT459T.
Examination of the definition, causes and
organization of terrorist activity, along with
key domestic and international counter- and
anti -terrorism responses. Special emphasis on
challanges and opportunities to the scientific
study of terrorism.
GVPT 457 American Foreign
Relations (3 credits)
Prerequisite: GVPT200. Junior standing.
446
For GVPT majors only.
The principles and machinery of the conduct
of American foreign relations, with emphasis
on the Departments of State and Defense, and
an analysis of the major foreign policies of the
United States.
GVPT 459 Seminar in
Comparative Politics (3 credits)
Prerequisite: GVPT200; and GVPT280 or
GVPT282. For GVPT majors only.
Repeatable to 6 credits if content differs.
Reading, writing, and research on topics in
comparative politics. Both substantive issues
and methodological approaches will be
considered.
GVPT 460 Problems in State and
Local Government (3 credits)
Prerequisite: GVPT170 and GVPT241.
Recommended: GVPT260. For GVPT
majors only.
A study of the structure, procedures and
policies of state and local governments with
special emphasis on the state level and on
intergovernmental relationships, and with
illustrations from Maryland governmental
arrangements.
GVPT 461 Metropolitan
Government (3 credits)
Prerequisite: GVPT170 and GVPT241. For
GVPT majors only.
An examination of administrative problems
relating to public services, planning and
coordination in a metropolitan environment.
GVPT 462 Urban Politics (3
credits)
Prerequisite: GVPT170 and GVPT241.
Recommended: GVPT260. Credit will be
granted for only one of the following:
GVPT461 orGVPT462.
Urban political process and institutions
considered in the light of changing social and
economic conditions.
GVPT 473 The U.S. Congress (3
credits)
Prerequisite: GVPT170 and GVPT241.
Junior standing. For GVPT majors only.
A detailed survey of lawmaking and the
legislative process, emphasizing the U.S.
Congress and its members.
GVPT 474 Political Parties (3
credits)
Prerequisite: GVPT170 and GVPT241. For
GVPT majors only.
A descriptive and analytical examination of
American political parties, nominations,
elections, and political leadership.
GVPT 475 The Presidency and
the Executive Branch (3 credits)
Prerequisite: GVPT170 and GVPT241.
Junior standing. For GVPT majors only.
An examination of the U.S. presidency in
historical and contemporary perspective:
nomination and electoral politics and the
president's place in policy-making,
administration, and public opinion.
GVPT 476 The Business
Government Relationship (3
credits)
Prerequisite: GVPT170 and GVPT241.
Recommended: GVPT270. For GVPT
majors only.
Examines the structures, process, and
outcomes of business and government and the
politics and products of their cooperative-
adversarial relationships in the United States.
The design integrates interest group and
administrative politics and the public policy
process.
GVPT 479 Seminar in American
Politics (3 credits)
Prerequisite: GVPT170 and GVPT241.
Junior standing. For GVPT majors only.
Repeatable to 6 credits if content differs.
Reading, writing, and research on topics in
American politics. Both substantive issues and
methodological approaches will be considered.
GVPT 480 Comparative Political
Systems (3 credits)
Prerequisite: GVPT200; and GVPT280 or
GVPT282. For GVPT majors only.
A study, along functional lines, of major
political institutions, such as legislatures,
executives, courts, bureaucracies, public
organizations, and political parties.
GVPT 481 Government and
Administration of Russia and
the States of the Former Soviet
Union (3 credits)
Prerequisite: GVPT200; and GVPT280 or
GVPT282. For GVPT majors only.
A comparative study of the governmental
systems and political processes of the states of
the former Soviet Union.
GVPT 482 Government and
Politics of Latin America (3
credits)
Prerequisite: GVPT200; and GVPT280 or
GVPT282. For GVPT majors only.
A comparative study of the governmental
systems and political processes of the Latin
American countries.
GVPT 483 Government and
Politics of Asia (3 credits)
Prerequisite: GVPT200; and GVPT280 or
GVPT282. For GVPT majors only.
A comparative study of governments and
politics of Asian countries.
GVPT 484 Government and
Politics of Africa (3 credits)
Prerequisite: GVPT280 or GVPT282.
A comparative study of the governmental
systems and political processes of the African
countries, with special emphasis on the
problems of nation-building in emergent
countries.
GVPT 485 Government and
Politics of the Middle East (3
credits)
Prerequisite: GVPT200; and GVPT280 or
GVPT282. For GVPT majors only.
A comparative study of the governmental
systems and political processes of the African
countries, with special emphasis on the
problems of nation-building in emergent
countries.
GVPT 486 Comparative Studies
in European Politics (3 credits)
Prerequisite: GVPT200; and GVPT280 or
GVPT282. For GVPT majors only.
A comparative study of the governmental
systems and political processes of the Middle
Eastern countries, with special emphasis on
the problems of nation-building in emergent
countries.
GVPT 487 Government and
Politics of China (3 credits)
Prerequisite: GVPT200. Recommended:
GVPT280 or GVPT282. Junior standing.
For GVPT majors only. Credit will be
granted for only one of the following:
GVPT359A or GVPT487. Formerly
GVPT359A.
Discussion of major issues in the study of the
domestic politics of the People's Republic of
China.
GVPT 492 The Comparative
Politics of Race Relations (3
credits)
Prerequisite: GVPT200. Recommended:
GVPT280 or GVPT282. For GVPT majors
only.
Impact of government and politics on race
relations in various parts of the world. The
origins, problems, and manifestations of such
racial policies as segregation, apartheid,
integration, assimilation, partnership, and non-
racialism will be analyzed.
GVPT 599 Teaching Political
Science (1 credits)
Problems in teaching political science. Topics
covered include lecture and discussion
strategies, creation of an active learning
environment, construction and evaluation of
examinations, department and university
policies, and dealing with various types of
teaching problems. This course does not cany
credit towards any degree at the University.
GVPT 622 Quantitative Methods
For Political Science (3 credits)
Introduction to quantitative methods of data
analysis, with emphasis on statistical methods
and computer usage. Measures of association,
probability, correlation, linear regression
estimation techniques, introductory analysis of
variance, and use of package computer
programs.
GVPT 629 Seminar in Research
Design (1 credits)
This is designed to extend and deepen
graduate students understanding of research
design in empirical political science. Focus is
placed on major issues in planning a research
project: developing strong theories,
formulating clear hypotheses, and crafting
strategies to test theories and rule out rival,
alternative explanations. Also, issues of
effective communication of research will be
considered. To get beyond abstractions,
examples of research in American politics will
be considered and evaluated. Technical issues
of statistical analysis or broader
epistemological questions in social science
will not be covered.
GVPT 700 Scope and Method of
Political Science (3 credits)
Required of all Ph.D. candidates. A seminar in
the methodologies of political science, and
their respective applications to different
research fields. Interdisciplinary approaches
and bibliographical techniques are also
reviewed.
GVPT 708 Seminar in
International Relations Theory
(3 credits)
Repeatable to 6 credits if content differs.
An examination of the major approaches,
concepts, and theories in the study of world
politics with special emphasis on
contemporary literature.
GVPT 722 Advanced
Quantitative Methods For
Political Science (3 credits)
447
Prerequisite: GVPT 622 or permission of
instructor.
Introduction to multivariate analysis.
Elementary matrix algebra, multiple linear and
curvilinear correlation and regression, analysis
of variance, canonical correlation and
regression, discriminant analysis, and several
types of factor analysis.
GVPT 729 Special Topics in
Quantitative Political Analysis (3
credits)
Prerequisite: GVPT622 or permission of
instructor, Repeatable to 6 credits if content
differs.
An intensive examination of special topics in
quantitative methods of political analysis in
such areas as survey research methods,
exploratory data analysis, advanced data
management techniques, or advanced methods
of policy analysis.
GVPT 730 Methods of Formal
Political Theory (3 credits)
An introduction to the methods of formal
theory, with emphasis on selected aspects of
philosophy of science and on prepositional
and quantified logic. The limitations and
potentialities of formal theory in both
normative and empirical political science.
GVPT 741 Ancient and Medieval
Political Philosophy (3 credits)
Three hours of discussion/recitation per
week. Prerequisite: permission of
department.
Major writings from the tradition of ancient
and medieval political philosophy are studied.
The goal is to identify and critically analyze
the perennial or persistent questions about
political life posed by philosophers in divers
times and places.
GVPT 742 Modern Political
Theory (3 credits)
Three hours of discussion/recitation per
week. Prerequisite: permission of
department. Recommended: GVPT 741.
The influence of the Enlightenment on
political thought, beginning with Machiavelli
and ending around the time of Mill and Marx,
in which the Enlightenment worked itself out
in the hopes and fears of these and other
authors.
GVPT 743 Contemporary
Political Theory (3 credits)
Prerequisite: Graduate Standing.
Theorists from Nietzsche (1884-1900) to the
present will be covered with a focus on the
apparent failure of the Enlightenment to usher
in an age of peace and reason.
GVPT 761 International Political
Economy (3 credits)
Recommended: GVPT 708.
Major issues in international political economy
including such matters as the monetary
system, trade, debt, and development.
GVPT 770 Seminar in American
Political Institutions (3 credits)
This is the core institutions seminar in
American politics. The course surveys the
primary literature in the field and addresses
substantively significant topics related to the
study of political institutions in the American
context.
GVPT 771 Seminar in American
Political Behavior (3 credits)
This is the core seminar in American political
behavior. The course will deal with prominent
theoretical and empirical issues in the areas of
voting, public opinion, political participation
and other aspects of political behavior in the
American context.
GVPT 772 American Political
Thought and Development (3
credits)
A survey of major American political thinkers,
ongoing themes in American political thought,
and fundamental questions abouth American
political development.
GVPT 780 Seminar in the
Comparative Study of Politics (3
credits)
An examination of the salient approaches to
and conceptual frameworks for the
comparative study of politics, followed by the
construction of models and typologies of
political systems.
GVPT 799 Master's Thesis
Research (1-6 credits)
GVPT 802 Seminar in
International Law (3 credits)
Reports on selected topics assigned for
individual study and reading in substantive
and procedural international law.
GVPT 803 Seminar in
International Political
Organization (3 credits)
A study of the forms and functions of various
international organizations.
GVPT 805 Theories of
International Conflict (3 credits)
Recommended: GVPT 708.
Major topics in the study of international
conflict.
GVPT 807 Comparative Studies
in International Relations (3
credits)
Prerequisite: GVPT 708; or GVPT 780; or
permission of department.
Studies in the historical, areal, structural,
social and economic contexts of international
relations and the influences of international
relations on domestic politics, social relations
and economics.
GVPT 808 Selected Topics in
Functional Problems in
International Relations (3
credits)
An examination of the major substantive
issues in contemporary international relations.
GVPT 827 Seminar in Political
Sociology (3 credits)
Prerequisite: GVPT 427 or equivalent.
Inquiries into the conceptual and theoretical
foundations of and empirical data in the field
of political sociology. Individual readings and
research problems will be assigned, dealing
with the social contexts of politics and the
political aspects of social relationships.
GVPT 828 Selected Problems in
Political Behavior (3 credits)
Individual reading and research reports on
selected problems in the study of political
behavior.
GVPT 831 Formal Theories of
Politics I (3 credits)
Survey of major formal theories of politics,
with emphasis on those theories based on the
assumptions of rationality. The theory of
public goods, game theory, coalition theory,
and the theoretical properties of voting
systems.
GVPT 832 Formal Theories of
Politics II (3 credits)
Prerequisite: GVPT 831.
Theories of justice, the voters paradox, the
liberal paradox, the effects of costly
information, and theories of regulation.
GVPT 838 Topics in Formal
Political Theory (3 credits)
Prerequisite: GVPT 831 or permission of
instructor.
An examination of selected topics in formal
theory.
GVPT 841 Great Political
Thinkers (3 credits)
Prerequisite: GVPT 441 .
Intensive study of one or more political
thinkers each semester.
GVPT 842 Man and the State (3
credits)
Prerequisite: GVPT 442.
Individual reading and reports on such
recurring concepts in political theory as
liberty, equality, justice, natural law and
natural rights, private property, sovereignty,
nationalism and the organic state.
GVPT 843 Psychoanalytic
Applications to Political Theory
(3 credits)
Recommended: GVPT 741 .
Freudian, object relations and Lacanian
traditions in psychoanalysis as they illustrate
traditional questions and authors of political
theory.
GVPT 844 American Political
Theory (3 credits)
Prerequisite: GVPT 444.
Analytical and historical examination of
selected topics in American political thought.
GVPT 845 Marxist Political
Theory (3 credits)
Prerequisite: GVPT 443 or permission of
instructor.
Intensive study and analysis of the leading
ideas of Marx and Engels and their
development in the different forms of social
democracy and of communism.
GVPT 846 Theories of
Democracy (3 credits)
Prerequisite: GVPT 442.
A survey and analysis of the leading theories
of democratic government, with attention to
such topics as freedom, equality,
representation, dissent, and critics of
democracy.
GVPT 847 Seminar in Non-
Western Political Theory (3
credits)
Intensive study of selected segments of
political theory outside of the Western
European tradition.
GVPT 848 Current Problems in
Political Theory (3 credits)
Prerequisite: GVPT 443.
Intensive examination of the development of
political theory since the Second World War.
GVPT 849 Readings in
Government and Politics (3
credits)
Guided readings and discussions on selected
topics in political science.
448
GVPT 856 Internationa! Human
Rights (3 credits)
International law and politics of human rights
viewed as a set of global issues involving civil
and political as well as economic, cultural and
social rights.
GVPT 857 Seminar in American
Foreign Relations (3 credits)
Reports on selected topics assigned for
individual study and reading in American
foreign policy and the conduct of American
foreign relations.
GVPT 859 Selected Topics in
Public Policy (3 credits)
Prerequisite: GVPT 750 or permission of
instructor, Repeatable to 6 credits if content
differs.
An examination of selected topics in public
policy, such as judicial education, health,
welfare, and resources policy.
GVPT 865 Do Institutions Make
a Difference? (3 credits)
Recommended: GVPT 770 and GVPT
760.
Examines the issue of the extent to which
institutional design affects the functioning of a
polity.
GVPT 868 Problems of State and
Local Government (3 credits)
Report of topics assigned for individual study
in the field of state local government
throughout the United States.
GVPT 869 Seminar in Urban
Administration (3 credits)
Selected topics are examined by the team
research method with students responsible for
planning, field investigation, and report
writing.
GVPT 870 Interest Groups
Politics in the United States (3
credits)
Recommended: GVPT 770.
The theory and practice of interest group
politics in the United States.
GVPT 871 Seminar in Public
Law (3 credits)
Reports on topics for individual study and
reading in the fields of constitutional and
administrative law.
GVPT 872 Judicial Process and
Behavior (3 credits)
An examination and assessment of the various
social scientific approaches to the study of
judicial behavior and process. The
"behavioral" public law, featuring the
application of social science research
techniques to the study of the legal process.
GVPT 873 Seminar in
Legislatures and Legislation (3
credits)
Reports on topics assigned for individual study
and reading about the composition and
organization of legislatures and about the
legislative process.
GVPT 874 Seminar in Political
Parties and Politics (3 credits)
Reports on topics assigned for individual study
and reading in the fields of political
organization and action.
GVPT 875 Seminar in Judicial
Policy Development (3 credits)
The role of courts in policy development, the
extent and limitations of judicial power, the
division of labor among courts in creating
policy, and the politics of litigation.
GVPT 876 Seminar in National
Security Policy (3 credits)
An examination of the components of United
States security policy. Factors, both internal
and external, affecting national security will be
considered. Individual reporting as assigned.
GVPT 877 The Politics of the
Presidency (3 credits)
Recommended: GVPT 770.
The major research topics and issues
pertaining to the United States presidency.
GVPT 878 Problems in American
Government and Politics (3
credits)
An examination of contemporary problems in
various fields of government and politics in
the United States, with reports on topics
assigned for individual study.
GVPT 879 Topics on
International Security (3
credits)
Recommended: GVPT 876 or equivalent.
Repeatable to 6 credits if content differs.
A detailed and advanced analysis of particular
regional problems on defense policy and arms
control.
GVPT 880 Civil Conflict: Theory
and Research (3 credits)
Recommended: GVPT 780.
An overview of historical, theoretical, and
empirical analyses of conflict within states.
Surveys major approaches to the study of
conflict, then examines representative theories
and evidence on the causes, dynamics, and
outcomes of revolution, protest movements,
ethnopolitical conflict, and state repression.
GVPT 881 Comparative
Governmental Institutions:
States of the Former Soviet
Union (3 credits)
An examination of government and politics of
the former Soviet Union.
GVPT 883 Comparative
Governmental Institutions:
China (3 credits)
An examination of governments and politics
within Asia.
GVPT 886 Comparative
Governmental Institutions:
Europe (3 credits)
An examination of governments and politics
within Europe.
GVPT 887 Seminar in the
Politics of Developing Nations
(3 credits)
An examination of the programs of political
development in the emerging nations with
special references to the newly independent
nations of Asia and Africa, and the less
developed countries of Latin America.
Individual reporting as assigned.
GVPT 888 Selected Topics in
Comparative Governmental
Institutions (3 credits)
An examination of special topics in
comparative politics.
GVPT 889 Selected Topics in
Area Problems in International
Relations (3 credits)
Special topics concerning regional problems in
the relations of states.
GVPT 898 Pre-Candidacy
Research (1-8 credits)
Guided readings and discussions on selected
topics in political science.
GVPT 899 Doctoral Dissertation
Research (1-8 credits)
Hebrew (HEBR)
HEBR 498 Special Topics in
Hebrew (3 credits)
Repeatable to 6 credits if content differs.
HEBR 499 Independent Study in
Hebrew (1-3 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits if content differs.
Independent study under faculty supervision.
Hearing and Speech
Sciences (HESP)
HESP 400 Speech and
Language Development in
Children (3 credits)
Prerequisite: HESP300 with a grade of "C"
(2.0) or better, or permission of department.
Recommended: HESP120 or LING200. For
HESP majors or by permission of
department.
Analysis of the normal processes of speech
and language development in children.
HESP 402 Language and
Phonological Disorders in
Children (3 credits)
Prerequisite: HESP400 with a grade of "C"
or better, or permission of department.
Etiology, assessment and treatment of
language and phonological disorders in
children.
HESP 403 Introduction to
Phonetic Science (3 credits)
Prerequisite: HESP305 with a grade of "C"
(2.0) or better, or permission of department.
For HESP majors or by permission of
department.
An introduction to physiological, acoustic and
perceptual phonetics; broad and narrow
phonetic transcription; current models of
speech production and perception.
HESP 404 Fluency & Voice
Disorders (3 credits)
Prerequisite: permission of department.
Etiology, assessment and therapeutic
management of phonation, resonance, and
fluency disorders in children and adults.
HESP 406 Acquired Neurogenic
Communication Disorders in
Adults (3 credits)
Prerequisites: {HESP300 andHESP305}
with a grade of "C" (2.0) or better or
permission of department. For HESP
majors or by permission of department.
Survey of the dysarthrias and aphasias in
adults from an interdisciplinary point of view.
HESP 407 Bases of Hearing
Science (3 credits)
Prerequisite: HESP31 1 with a grade of "C"
(2.0) or better or permission of department.
For HESP majors only or by permission of
department.
Fundamentals of hearing, including the
physics of sound, anatomy and physiology of
peripheral and central auditory nervous
system, psychophysical procedures used in
449
measurement of auditory sensation and
perception, and topics in psychological
acoustics.
HESP 410 Organic Speech
Disorders (3 credits)
Prerequisite: HESP305 with a grade of C
(2.0) or better; or permission of
department. Recommended: HESP403. For
HESP majors only or permission of
department. Credit will be granted for only
one of the following: HESP410 or
HESP498C. Formerly HESP498C.
Overview of cleft palate, pediatric and adult
swallowing disorders, pediatric cerebral palsy,
including dysarthria/apraxia, and their effects
on communication; treatment considerations.
HESP 411 Introduction to
Audiology (3 credits)
Prerequisite: HESP31 1 with a grade of "C"
(2.0) or better, or permission of department.
For HESP majors or permission of
department.
An introduction to the field of audiology.
Evaluation and remediation of hearing
handicaps.
HESP 413 Aural
Rehabilitation/Habilitation (3
credits)
Prerequisite: HESP311. Sophomore
standing.
The fundamental aspects of aural rehabilitation
therapy for both adults and children are
introduced to students. Class time will consist
of lectures, discussions, and hands-on
activities.
HESP 417 Principles and
Methods in Speech-Language
Pathology and Audiology (3
credits)
Prerequisites: HESP400, HESP41 1, and at
least one of HESP402, HESP404,
HESP406, or HESP410 with a grade of "C"
(2.0) or better; or permission of
department. For HESP majors or
permission of department. Offered fall
only.
The principles underlying the treatment of
speech, language and hearing disorders in
children and adults.
HESP 418 Clinical Practice in
Speech-Language Pathology
and Audiology (3 credits)
Prerequisite: HESP417 with a grade of "C"
(2.0) or better, and permission of
department. Repeatable to 6 credits.
Supervised observation with some direct
participation in clinical methods for the
treatment of disorders of articulation, fluency,
child and adult language; evaluation and
habilitation/rehabilitation of hearing impaired
children and adults.
HESP 420 Deafness and Sign
Language (3 credits)
Credit will be granted for only one of the
following: HESP498A or HESP420.
An introduction to American Sign Language
and Deaf Culture.
HESP 422 Neurological Bases of
Human Communication (3
credits)
Prerequisite: HESP305 or permission of
instructor. Credit will be granted for only
one of the following: HESP498 or
HESP422.
Basic neurology as it pertains to anatomy and
physiology substrates of speech and lanauaae.
HESP 469 Honor Thesis
Research (1-3 credits)
Prerequisite: Honor thesis advisor's
approval. Repeatable to 6 credits if content
differs.
Student will develop thesis proposal, conduct
research, analyze results, develop and defend
final written document.
HESP 498 Seminar (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Selected topics in human communication and
its disorders.
HESP 499 Independent Study (1-
3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
A directed study of selected topics pertaining
to human communication and its disorders.
HESP 600 Instrumentation in
Hearing and Speech Sciences (3
credits)
Prerequisite: For students seeking doctoral
degree in Audiology and permission of
department; or permission of instructor.
Types and principles of operation of electronic
equipment used in the hearing and speech
sciences.
HESP 602 Neurological Bases of
Human Communication (3
credits)
Prerequisite: permission of department.
Basic neurology as it pertains to anatomical
and physiological substrates of speech and
language.
HESP 604 Acoustical and
Perceptual Phonetics (3 credits)
Prerequisite: permission of department.
Principles and current laboratory techniques in
analysis of the acoustical characteristics of the
speech signal and discussion of models of
speech perception.
HESP 606 Basic Hearing
Measurements (3 credits)
Prerequisite: For students seeking doctoral
degree in Audiology, (HESP41 1 or
equivalent) and permission of department;
or permission of instructor.
Theoretical principles, methodology, and
interpretation of routine audiometric tests,
including pure tone, speech, and acoustic
immittance measures. Modification of
procedures for special populations. Equipment
calibration and mass hearing screening
programs.
HESP 610 Aphasia (3 credits)
Language problems of adults associated with
brain injury.
HESP 612 Fluency Disorders (3
credits)
Prerequisite: For students seeking masters
degree in Speech Language and permission
of department; or permission of instructor.
The nature of fluency disorders. Principles,
methods and procedures for the clinical
management of fluency disorders in children
and adults.
HESP 614 Orofacial Anomalies
(3 credits)
Prerequisite: For students seeking masters
degree in Speech Language and permission
of department; or permission of instructor.
Communication disorders related to congenital
orofacial anomalies with an emphasis on cleft
lip and palate. Principles, methods and
procedures for clinical management.
HESP 616 Language Disorders
in Children (3 credits)
Prerequisite: For students seeking masters
degree in Speech Language, (HESP400 or
equivalent), and permission of department;
or permission of instructor.
Theoretical, empirical and clinical
perspectives on language disorders in children.
HESP 620 Phonological and
Articulatory Disorders (3
credits)
Assessment and treatement of disorders at the
phonological and articulatory levels of
language and speech.
HESP 622 Neuromotor
Disorders of Speech (3 credits)
Prerequisite: For students seeking masters
degree in Speech Language and permission
of department; or permission of instructor.
Effects of neuropathology on speech
production. Classification and assessment of
the resultant disorders and their treatment.
HESP 624 Voice Disorders (3
credits)
Prerequisite: permission of department.
Etiological characteristics, assessment and
treatment of phonatory disorders in children
and adults.
HESP 625 Dysphagia (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: HESP 639B or HESP 625.
Nature and clinical management of dysphagia
as it pertains to different clinical settings for
adult and pediatric populations.
HESP 626 Language and
Learning Disabilities (3 credits)
Etiology, assessment and treatment of
communication problems in children with
learning disabilities.
HESP 627 Augmentative and
Alternative Communication (3
credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: HESP 639R or HESP627.
Principles, methods, and procedures for
categorizing, understanding, and developing
augmentative and alternative communication.
HESP 630 Electrophysiological
Measurements (3 credits)
Prerequisite: For students seeking doctoral
degree in Audiology, HESP606, and
permission of department; or permission of
instructor.
Principles and techniques of physiological and
electrophysiological measures of the audio-
vestibular mechanisms.
HESP 632 Medical Audiology (3
credits)
Prerequisite: HESP 311. Corequisite: HESP
606.
Overview of auditory pathologies, and their
assessment and management in the medical
setting.
HESP 635 Aural
Rehabilitation/Habilitation (3
450
credits)
Principles, methods and procedures for aural
rehabilitation/habilitation in children and
adults.
HESP 636 Geriatric Audiology (3
credits)
Prerequisites: HESP 606 and HESP 700.
For HESP majors only.
Research findings are presented on the
physical effects of aging on the auditory
periphery and central nervous system, as well
as the consequences of aging on behavioral
and electrophysiologic measures of auditory
function. Clinical implications in the effects of
physiologic and cognitive aging on auditory
performance will be discussed.
HESP 638 Research Practicum
(1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Analysis, synthesis and integration of
knowledge related to current research or
clinical issues in human communication and
its related disorders.
HESP 639 Special Topics in
Hearing and Speech Sciences
(1-3 credits)
Prerequisite: permission of department.
Repeatable to 06 credits if content differs.
Intensive coverage of selected topics of
current interest.
HESP 645 Pediatric Audiology (3
credits)
Prerequisite: For students seeking doctoral
degree in Audiology, HESP606, and
permission of department; or permission of
instructor.
Evaluation and treatement of hearing-impaired
children.
HESP 646 Educational
Audiology (3 credits)
Prerequisite: HESP 606. Recommended:
HESSP645.
Examination of historical and current trends
influencing educational programming for
hearing- impaired children, communication
options for severely and profound hearing-
impaired children, and the role of the
audiologist in the educational setting.
HESP 648 Clinical Practice in
Speech (1-3 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits.
Supervised training in the application of
clinical methods in the diagnosis and treatment
of speech disorders.
HESP 649 Clinical Practice in
Audiology (1-3 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits.
Supervised training in the application of
clinical methods in the diagnosis and treatment
of hearing disorders.
HESP 658 Special Clinical
Topics in Hearing and Speech
(1-3 credits)
One hour of lecture and one hour of
discussion/recitation per week.
Prerequisite: permission of department.
Repeatable to 06 credits if content differs.
Comprehensive coverage of selected topics
pertinent to clinical issues. Specific content
varies each semester, and may include
supervision, clinical ethics, etc.
HESP 700 Hearing Aids (3
credits)
Principles, methods and procedures for
selection, fitting, calibration and management
of amplification systems for hearing -impaired
children and adults.
HESP 701 Hearing Aids II (3
credits)
Prerequisite: For students seeking doctoral
degree in Audiology, HESP700, and
permission of department; or permission of
instructor, For HESP majors only.
Advanced issues in amplification technology,
prescriptive hearing aid selection, and
management of amplification systems for
special populations.
HESP 702 Diagnostic
Procedures in Speech-
Language Pathology (3 credits)
Prerequisite: For student seeking masters
degree in Speech Language and permission
of department; or permission of instructor.
Diagnostic tools and methods in the analysis
of speech-language disorders in children and
adults.
HESP 706 Advanced Clinical
Audiology (3 credits)
Prerequisite: HESP 606 or equivalent.
Advanced clinical and experimental methods
of evaluating the peripheral and central
auditory system using acoustic stimuli.
Procedural considerations and interpretation of
test results.
HESP 708 Independent Study (1-
6 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits.
Individual research projects under guidance of
a faculty member.
HESP 710 Industrial and
Environmental Noise Problems
(3 credits)
Prerequisite: permission of instructor.
Evaluation and control of noise hazards.
Effects of noise on man. Medico -legal aspects
of noise-induced hearing impairment.
HESP 722 Psychoacoutics (3
credits)
Auditory perception and auditory processing
in normal and impaired hearing.
HESP 724 Research Design (3
credits)
Prerequisite: a course in basic statistics.
Evaluations of research designs, critique of
published articles and student involvement in
designing experiments on assigned topics.
HESP 728 Advanced Clinical
Practice in Speech (1-8 credits)
Prerequisite: HESP 648 and permission of
instructor. Repeatable to 8 credits.
Clinical internship in selected off-campus
facilities.
HESP 729 Advanced Clinical
Practice in Audiology (1-8
credits)
Prerequisite: HESP 649 and permission of
instructor, Repeatable to 8 credits.
Clinical internship in selected off-campus
facilities.
HESP 730 Vestibular-ocular
Assessment and Management
(Electrophysiologic Measures II)
(3 credits)
Prerequisite: HESP 630.
Advanced principles and methods of
evaluating vestibular-ocular function using
electrophysiologic measures. Includes
rehabilitative issues pertaining to balance
disorders and advanced electrophysiologic
measures of auditory system function.
HESP 788 Graduate Research
Externship (1-3 credits)
Recommended: HESP 724.
Off-campus research internship with
departmental affiliates at National Institutes of
Health and other regional universities. Contact
department chairman for available placements,
requirements and openings.
HESP 799 Master's Thesis
Research (1-6 credits)
HESP 808 Current Research in
Hearing, Speech and Language
Services (1-3 credits)
Prerequisite: permission of department. For
HESP majors only. Repeatable to 6 credits
if content differs.
Current research in speech, language and
hearing sciences and disorders.
HESP 818 Seminar in Language
Processing (3 credits)
Prerequisite: permission of instructor. For
HESP majors only. Repeatable to 6 credits
if content differs.
Information processing models of language,
relationships among language, memory and
cognition.
HESP 828 Seminar in Hearing
Science (3 credits)
Prerequisite: permission of department. For
HESP majors only. Repeatable to 6 credits
if content differs.
Recent developments in auditory
psychophysics, and/or anatomy and
physiology of the periperal and central
auditory mechanisms.
HESP 829 Clinical Internship
Residency (1-9 credits)
Prerequisite: Completion of HESP729 for
two semesters, successful completion of
comprehensive exams, and permission of
department. Repeatable to 18 credits if
content differs.
Off-Campus, full-time (30-40 hours/week)
clinical externship in Audiology at regional
and national institutions.
HESP 838 Seminar in Language
Acquisition (3 credits)
Prerequisite: permission of instructor. For
HESP majors only. Repeatable to 6 credits
if content differs.
Models of normal and disordered fust
language acquisition, second language
acquisition and bilingualism.
HESP 848 Seminar in Audiology
(3 credits)
Prerequisite: permission of instructor. For
HESP majors only. Repeatable to 6 credits
if content differs.
Research tipics realted to hearing assissment,
amplification, and audiologic rehabilitation.
451
HESP 849 Capstone Research
Project I (2 credits)
Two hours of laboratory and one hour of
discussion/recitation per week.
Prerequisite: HESP724. Open to students in
the Au.D. degree program.
First of two-course sequence leading to the
final research requirement for the Doctor of
Audiology (Au.D.) degree; involves individual
study and/or supervised lab work with mentor,
preparation of research proposal (including
IRB protocol if required), and attendance at
Capstone Research Project Workshop.
HESP 858 Seminar in Speech
Pathology (3 credits)
Prerequisite: permission of instructor. For
HESP majors only. Repeatable to 6 credits
if content differs.
Problems in disordered articulation, voice,
fluency and dysphagia.
HESP 859 Capstone Research
Project II (1-2 credits)
Two hours of laboratory and one hour of
discussion/recitation per week. Pre- or
corequisite: HESP849. Open to students in
Au.D. degree program.
Second of two-course sequence leading to the
final research requirement for the Doctor of
Audiology (Au.D.) degree; involves final data
collection, analysis and presentation of results
or completion of scholarly paper under the
direction of the faculty mentor.
HESP 868 Seminar in Speech
Science (3 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits.
Problems in speech acoustics and physiology.
HESP 878 Seminar in Language
Disorders (3 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits.
Congenital and acquired language disorders of
children and adults.
HESP 879 Academic Research
Seminar (1 credits)
For HESP Ph.D majors only. Repeatable to
3 credits if content differs.
An overview of issues relevent to the research
process will be provided. Topics rotate on a
semester basis and include ethics,
grants mans hip, professional presentations,
research publications, and peer review of
journal articles. A formal product (e.g., poster
presentation, platform presentation, peer
review, IRB application) will be required each
semester.
HESP 887 Academic Research
Seminar (2 credits)
For doctoral students in HESP or CAUD..
Recommended: HESP724. Repeatable to 6
credits if content differs .
This course has a focused, rotating set of
topics each semester to cover professional and
academic issues, including ethics,
grants mans hip, professional presentations,
professional publications, and peer review of
journal articles.
HESP 888 Seminar in
Neurological Bases of Language
(3 credits)
Prerequisite: permission of instructor. For
HESP majors only. Repeatable to 6 credits
if content differs.
Neural ststrates of language function, brain
image of normal and disordered language
function, and neural plasticity for language.
HESP 889 Doctoral Candidacy
Research (1-3 credits)
Prerequisite: permission of instructor. For
HESP majors only. Repeatable to 6 credits
if content differs.
Doctoral candidacy paper research
HESP 898 Pre-Candidacy
Research (1-8 credits)
HESP 899 Doctoral Dissertation
Research (1-8 credits)
Historic Preservation
(HISP)
HISP 600 Introductory Seminar
in Historic Preservation: Theory,
History and Practice (3 credits)
Prerequisite: permission of department.
An introduction to the wide range of ideas
underpinning the practice of preservation
covered through readings, discussions,
presentations, class projects, and field trips.
HISP 610 Preservation
Documentation and Research
Methods (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: HISP 610 or HISP 619Q.
Formerly HISP619Q.
An overview of common research methods
and documentation tools used in historic
preservation. Introductions to graphic
documentation, building investigation,
historical research, socioeconomic data
collection and analysis.
HISP 611 Historical Research
Methods (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: HISP610 or HISP61 1.
Research methods used by professional
historic preservationists to identify and record
historic structures and sites. Emphasizes
inter/multidisciplinary nature of contemporary
preservation practice using archival and
ethnographic evidence as a basis for
establishing significance.
HISP 615 The Everyday and the
"American" Environment (3
credits)
Prerequisite: permission of department.
Also offered as HISP200. Not open to
students who have completed HISP619E.
Credit will be granted for only one of the
following: HISP615, HISP619E, or
HISP200. Formerly HISP619E.
An introduction into the theories of the
everyday with the context of the American
built environment. The course focuses
primarily on the American experience of
underre presented, minority, and immigrant
communities; both historical and
contemporary. The course attempts to
challenge what is meant by American in
describing the American every day built
environment.
HISP 619 Special Topics in
Historic Preservation (3 credits)
Repeatable to 06 credits if content differs.
Technical aspects of preservation taught by
practitioners whose expertise are of special
benefit to certificate students.
HISP 625 Cultural and Heritage
Tourism: Issues in
Sustainability and Historic
Preservation (3 credits)
Prerequisite: permission of department.
Also offered as HISP619G and HISP619J.
Credit will be granted for only one of the
following: HISP619G, HISP619J, or
HISP625. Formerly HISP619J.
The course focuses on multi-disciplinary study
of culture and heritage in tourism, at the local,
national, and international levels of destination
and society. The course will also examine
issues of representation, identity and image
over time and space.
HISP 628 Selected Topics in
Historic Preservation (3 credits)
Prerequisite: permission of instructor.
Repeatable to 06 credits if content differs.
Critical issues in contemporary preservation
practice will be examined. Topics will change
each year, according to the professor's
interests and the relevance of the course topic,
and will include such themes as: preservation
of the everyday built environment, social and
ethnic dimensions of historic preservation
practice, and preservation of Modern
architecture and landscapes. The course will
consist of readings, class, discussions, and a
substantial individual research project.
HISP 629 Independent Studies
in Historic Preservation (1-3
credits)
Three hours of laboratory per week.
Prerequisite: permission of department. For
HISP majors only.
Individual Instruction course.
HISP 630 Preservation Policy
and Planning (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: HISP619M or HISP630.
Formerly HISP619M.
An opportunity is provided to look in depth at
the national historic preservation program that
is the federal, tribal, state, and local (city and
county) public sector preservation activities
being undertaken in accordance with public
policy set by laws, regulations, standards, and
guidelines.
HISP 635 Social and Ethnic
Issues in Historic Preservation
Practice (3 credits)
Prerequisite: permission of department. Not
open to students who have completed
HISP628E. Credit will be granted for only
one of the following: HISP628E or
HISP635. Formerly HISP628E.
This seminar course examines the broader
social and ethnic dimensions of historic
preservation practice that have impacted the
field since the "culture wars" of the 1990's.
Through weekly case studies of local,
international sites, students will explore these
issues and apply newly emerging
methodologies to their final case study project.
HISP 640 Historic Preservation
Law, Advocacy and Public
Policy (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: HISP619C or HISP 640.
Formerly HISP619C.
Introduce students to legal, advocacy, and
452
public policy issues in the field of historic
preservation. Student activities will be
designed to teach basic working knowledge of
relevant legal subjects, including historic
preservation ordinances, state and federal
preservation statutes, and important
constitutional issues.
HISP 645 Archaeology and
Preservation (3 credits)
Prerequisite: permission of department. Not
open to students who have completed
HISP619A. Credit will be granted for only
one of the following: HISP619A or
HISP645. Formerly H1SP619A.
An introduction to issues related to
archaeological resources and preservation.
Topics will include method and theory in
American archaeology, archaeology in support
of architectural history, archaeology and the
NHPA, archaeological site preservation and
conservation, and curation and collections
management. Students will have a chance to
work at an archaeological site to experience
field excavation techniques and challenges,
and will visit other archaeological sites and
curation facilities in the area.
HISP 650 Historic Preservation
Studio Workshop (6 credits)
Six hours of laboratory per week.
Prerequisite: H1SP600; and permission of
department. Recommended: First Year
MHP Courses. For HISP majors only.
Students carry out a group preservation project
in a local community, from inception and
problem formulation through completion.
Guided carefully by a faculty team, students
will conduct research, interact with
communities, perform analyses, and propose
solutions for an issue or problem of direct
relevance to a local community and client
group.
HISP 655 American Vernacular
Architecture (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: HISP655 orHISP619V.
Formerly HISP619V.
History, theory, and practice of American
vernacular architecture including a review of
common building technologies, structure, and
style, and focusing on methods and approaches
for recording, documenting and analyzing
these historic resources.
HISP 660 Internship in Historic
Preservation (3 credits)
Prerequisite: permission of department.
Formerly HISP619.
Students will secure a summer internship with
an organization engaged in historic
preservation work (this can be a public
agency, nonprofit, or private firm). The
students will formulate a plan of work and a
series of pedagogical goals to satisfy both the
practical needs of the project and the academic
requirements for the course.
HISP 670 Conservation of
Historic Places: Historic
Materials, Building Systems,
and Conservation (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: HISP619T orHISP670.
Formerly HISP619T.
Introduces students to the analysis of historic
buildings, building systems and materials. The
overall emphasis is on assessing the condition
of a building and its parts, and formulating a
preservation strategy based on it. Conservation
methods will be discussed through the
introduction of philosophies and specific
techniques.
HISP 678 Fieldwork in Historic
Preservation (3 credits)
One hour of lecture and three hours of
laboratory per week. Junior standing.
Repeatable to 6 credits if content differs.
Also offered as ARCH 676.
Advanced fieldwork in research and
documentation of historic sites and structures
including primary local history research,
building analysis, survey techniques, field
photography, and graphic documentation
techniques.
HISP 679 Introduction to
Measured Drawings for Historic
Preservation (3 credits)
One hour of lecture and three hours of
laboratory per week. Prerequisite:
permission of department. Junior standing.
Repeatable to 6 credits if content differs.
Also offered as ARCH 676.
Teaches graphic documentation methodologies
for historic buildings, including hand
measuring, drafting, preparing a sketch plan,
analyzing buildings, and producing finished
drawings in ink. Students will analyze
building in situ.
HISP 680 Preservation
Economics (3 credits)
Prerequisite: permission of department. Not
open to students who have completed
HISP619N. Credit will be granted for only
one of the following: HISP619N or
HISP680. Formerly HISP619N.
Students are introduced to a range of economic
theories, methods, and issues that must be
considered in the practice of historic
preservation. Case studies related to
community economic development, adaptive
reuse, tax credit programs, project finance, and
land use will be presented.
HISP 700 Final Seminar in
Historic Preservation (3 credits)
Prerequisite: permission of department.
An independent, applied research project
investigating the preservation of a particular
site or a specialized issue in historic
preservation. Several group seminars during
the semester to discuss project development
and research strategies are included.
HISP 701 Certificate Portfolio
Project (1 credits)
Prerequisite: permission of department.
Recommended: HISP600, HISP610, and
HISP640.
Students will gather samples of their work and
craft a synthetic statement on their experiences
in their HISP certificate courses (for example,
picking up on themes such as community
involvement, diversity of practice, affordable
housing, or sustainability), and the ways in
which they have integrated historic
preservation into their thinking and practice in
their home discipline.
HISP 710 Final Project in
Historic Preservation I (1
credits)
Credit will be granted for only one of the
following: HISP700 or HISP710. Formerly
HISP700.
An independent, applied research project
investigating the preservation of a particular
site or a specialized issue in historic
preservation. This is part one of a two-
semester sequence and involves developing
the project proposal and bibliography.
HISP 711 Final Project in
Historic Preservation II (2
credits)
Credit will be granted for only one of the
following: HISP700 or HISP711. Formerly
HISP700.
An independent, applied research project
invesitgating the preservation of a particular
site or a specialized issue in historic
preservation. This is part two of a two
semester sequence and involves project
research and writing.
History (HIST)
HIST 401 Science and Gender (3
credits)
Credit will be granted for only one of the
following: HIST401 or HIST429R.
Formerly HIST429R.
Examines the role of women and gender in the
history of science. Includes consideration of
barriers to women's participation in science;
women's role as scientific subjects and
researchers; and questions about the scientific
construction of gender and the gendered
construction of science.
HIST 402 The Development of
Modern Physical Science: From
Newton to Einstein (3 credits)
Prerequisites: MATH1 10; and PHYS 1 12 or
PHYS 117 or equivalent.
The history of physics in the 18th and 19th
centuries, including connections with
mathematics, technology, chemistry and
planetary science. Emphasis on internal
technical developments in physical theory,
with discussion of experimental, philosophical
and sociological aspects. This is the second
part of a three -semester sequence (HIST401,
HIST402, PHYS490); each part may be taken
independently of the others.
HIST 404 History of Modern
Biology (3 credits)
The internal development of biology in the
19th and 20th-centuries, including evolution,
cell theory, heredity and development,
spontaneous generation, and mechanism-
vitalism controversies. The philosophical
aspects of the development of scientific
knowledge and the interaction of biology with
chemistry and physics.
HIST 405 Environmental History
(3 credits)
An introduction to the key issues and methods
of environmental history. The scope of the
subject is discussed, as well as its relationship
with other disciplines, such as ecology,
anthropology, and geography. A primary focus
is environmental change in history with
emphasis on the American experience.
HIST 406 History of Technology
(3 credits)
Not open to students who have completed
HIST407 prior to Fall Semester, 1989.
The changing character of technology in
modern history, beginning with the Middle
Ages. Concentrates on the Industrial
Revolution and its aftermath, the nature of
technological knowledge and the sources of
technological change.
453
HIST 407 Technology and Social
Change in History (3 credits)
Students with HIST407 prior to Fall
Semester 1989 must have permission of
department to enroll in this course.
Social consequences of technological
innovations and the ways in which societies
have coped with new technologies.
HIST 408 Senior Seminar (3
credits)
For HIST majors only. Repeatable to 6
credits if content differs.
A capstone course for history majors, designed
to increase historical knowledge and the
ability to analyze texts and arguments. Topics
will focus on the literature of a particular field
and primary -source research.
HIST 410 Introduction to
Archives I (3 credits)
Prerequisite: permission of department.
Corequisite:HIST411.
History of the basic intellectual problems
relating to archives and manuscript
repositories; emphasis on problems of
selection, access, preservation, inventorying
and editing as well as the variety of
institutions housing documents.
HIST 412 History of Women and
Gender in Africa (3 credits)
Prerequisite: HIST122, HIST123, or
permission of department. Credit will be
granted for only one of the following:
HIST412 or HIST428L. Formerly
HIST428L.
An examination of socio-economic and
cultural change in Africa from the dawn of the
colonial era in the 19th century to
independence in the mid-twentieth century.
Major focus on how African women
understood and responded to the expansion of
European empires, changes in the colonial
economy and impact of westernization and
urbanization.
HIST 413 Revolutionary
Prophets: Political and
Religious Movements in Africa
and the Atlantic World (3
credits)
Recommended: HIST122 and/or HIST123.
Credit will be granted for only one of the
following: HIST413 or HIST419V.
Formerly HIST419V.
In the expanding nineteenth and twentieth
century culture of the "Black Atlantic" world,
investigates the intersection of African
cultures, modern nationalism, prophet ism,
millenarianism, and social change.
HIST 415 Ideas and Politics in
Europe Since 1900 (3 credits)
Prerequisite: HIST1 13 or HIST240; or
permission of instructor. Junior standing or
permission of instructor.
Examination of intersection of ideas and
politics in Europe since 1900. Focus will be on
advocates of liberalism, social democracy,
fascism, Nazism, communism and
conservatism and their impact on politics and
policy since 1900.
HIST 416 History of Slavery and
the Slave Trade in Africa (3
credits)
Credit will be granted for only one of the
following: HIST416 or HIST419Y.
Formerly HIST41 9 Y.
Examines the history and impact of the slave
trade on African states, societies, and
economies. Investigates the meaning of
slavery in Africa, the local uses of slavery
there and Africa's connections to the Trans-
Saharan, Red Sea and Trans-Atlantic slave
trades.
HIST 418 Jews and Judaism:
Selected Historical Topics (3
credits)
Prerequisite: HIST106, HIST126,
HIST281, HIST282, HIST283, or
HIST286; or permission of instructor.
Repeatable to 6 credits if content differs.
HIST 419 Special Topics in
History (3 credits)
Repeatable to 9 credits if content differs.
HIST 425 Imperial Russia (3
credits)
The rise and fall of the Russian Empire, Peter
the Great to the collapse of tsarism in
revolution. Emphasis on the evolution of
autocracy, social groups, national identities,
and cultural change.
HIST 426 Age of Industry:
Britain 1760 to 1914 (3 credits)
An economic, social, political and cultural
analysis of Britain in the age of its industrial
supremacy. The nature of the first industrial
revolution; the emergence of modern social
classes; the cultural impact of
industrialization; politics and society in the
early and mid- 19th-century; Victorianism and
its critics; imperialism and politics; high and
low culture; the rise of labor; social and
political tensions 1910-1914.
HIST 427 Age of Decline: Britain
1914 to Present (3 credits)
British society since the First World War. The
social, cultural, economic and political impact
of the First World War; labor and politics in
the 1920s and 1930s; the inter-war Depression,
appeasement and foreign policy; the social
impact of the Second World War; the welfare
state and nationalization of industry; the
dissolution of Empire; the emergence of a
consumer society; social criticism in the
1950s; the economic and political problems of
the 1960s and 1970s.
HIST 428 Selected Topics in
History (3 credits)
Repeatable to a maximum of 9 credits
combined in HIST319, HIST328, or
HIST429.
HIST 429 Special Topics in
History (3 credits)
Repeatable to a maximum of 9 credits
combined in HIST319, HIST328, or
HIST429.
HIST 430 Tudor England (3
credits)
An examination of the political, religious and
social forces in English life, 1485-1603, with
special emphasis on Tudor government, the
English reformation and the Elizabethan era.
HIST 431 Stuart England (3
credits)
An examination of the political, religious and
social forces in English life, 1603-1714, with
special emphasis on Puritanism and the
English revolutions.
HIST 433 Strategic Military
Theory: Clausewitz (3 credits)
Credit will be granted for only one of the
following: HIST419J orHIST433.
Formerly HIST419J.
An exploration of Clausewitzian thought.
Topics include the conduct of fighting and war
planning, the relationship of war and politics,
guerrilla war, the principles of concentration
of force, the Clausewitzian trinity, absolute
war and real war, unlimited and limited war,
the relative strengths of the defense and the
attack, and the intuitive sources of strategic
decision.
HIST 436 Napoleon, the French
Revolution and the World (3
credits)
An argument for the broad continuity between
the revolutionary and Napoleonic wars.
HIST 437 Modern France from
Napoleon to DeGaulle (3
credits)
The changing political and cultural values of
French society in response to recurrent crises
throughout the 19th and 20th centuries.
Students should have had some previous
survey of either Western civilization or
European history.
HIST 440 Germany in the
Nineteenth Century, 1815-1914
(3 credits)
Examines the social, economic, cultural, and
political development of the major German
states before 1871 and of Germany, excluding
Austria, from 1871 to 1914.
HIST 441 Germany in the
Twentieth Century: 1914-
Present (3 credits)
Prerequisite: HIST1 13 or HIST240; or
permission of instructor.
Germany's aims and policies during World
War I, its condition and policies in the inter-
war period, the rise of National Socialism,
World War II, and post-war Germany.
HIST 442 Twentieth-Century
Russia (3 credits)
Russia and the Soviet Union from the fall of
the tsars to the post- communist present.
Impact of Leninism, Stalinism and Soviet
Communism on state, society, culture and
nationality.
HIST 443 Modern Balkan History
(3 credits)
Prerequisite: HIST1 13 or HIST240; or
permission of instructor.
A political, socioeconomic, and cultural
history of Yugoslavia, Bulgaria, Romania,
Greece, and Albania from the breakdown of
Ottoman domination to the present. Emphasis
is on movements for national liberation during
the 19th-century and on approaches to
modernization in the 20th-century.
HIST 446 Old Regime France,
1589-1789 (3 credits)
Credit will be granted for only one of the
following: HIST419I or HIST446.
Formerly HIST4 191.
An exploration of the demographic patterns of
the seventeenth and eighteenth centuries.
Examination of arguments that the population
was governed by Malthusian constraints; the
social structure of the time; the nature of the
elites; the debate over the phrase "Arise of the
bourgeoise"; the Enlightenment both as an
intellectual phenomenon and as a social agent;
and, arguments that Enlightenment led to the
desacralization of the monarchy.
HIST 447 Riot, Law and Justice
in European History (3 credits)
454
Credit will be granted for only one of the
following: HIST428C or HIST447.
Formerly HIST428C.
An examination of the role of violence and the
attempt of law to contain or punish it. Major
interpretations of societal codes of honor,
retribution, punishment, and how violence is
governed by these codes.
HIST 450 Economic History of
the United States to 1865 (3
credits)
Prerequisite: HIST156, HIST210,
HIST213, HIST222, HIST254, HIST265,
HIST275, or ECON3 1 1 ; or permission of
instructor.
The development of the American economy
from Columbus through the Civil War.
HIST 451 Economic History of
the United States After 1865 (3
credits)
Prerequisite: HIST157, HIST211,
HIST213, HIST222, HIST255, HIST265,
or HIST275; or permission of instructor.
The evolution of the U.S. economy from the
end of the Civil War to the present; emphasis
on macroeconomic policy making and
relations among business, government and
organized labor.
HIST 452 Diplomatic History of
the United States to 1914 (3
credits)
American foreign relations from the American
Revolution to the beginning of World War I.
International developments and domestic
influences that contributed to American
expansion in world affairs. Analyses of
significant individuals active in American
diplomacy and foreign policy.
HIST 453 Diplomatic History of
the United States from 1914 (3
credits)
American foreign relations in the 20th-
century. World War I, the Great Depression,
World War II, the Cold War, the Korean War,
and Vietnam. A continuation of HIST452.
HIST 454 Constitutional History
of the United States: From
Colonial Origins to 1860 (3
credits)
The interaction of government, law, and
politics in the constitutional system. The
nature and purpose of constitutions and
constitutionalism; the relationship between the
constitution and social forces and influences,
the way in which constitutional principles,
rules, ideas, and institutions affect events and
are in turn affected by events. The origins of
American politics and constitutionalism
through the constitutional convention of 1787.
Major constitutional problems such as the
origins of judicial review, democratization of
government, slavery in the territories and
political system as a whole.
HIST 455 Constitutional History
of the United States: Since 1860
(3 credits)
American public law and government, with
emphasis on the interaction of government,
law, and politics. Emphasis on the political-
constitutional system as a whole, rather than
simply the development of constitutional law
by the Supreme Court. Major crises in
American government and politics such as
Civil War, Reconstruction, the 1890s, the New
Deal era, the civil disorders of the 1960s.
HIST 456 History of American
Culture and Ideas to 1865 (3
credits)
The culture and ideas that have shaped
American society and character from the first
settlements to the Civil War.
HIST 457 History of American
Culture and Ideas Since 1865 (3
credits)
Prerequisite: HIST157, HIST211,
HIST213, HIST222, HIST255, HIST265,
or HIST275; or permission of instructor.
A continuation of HIST456, from the Civil
War to the present.
HIST 460 History of Labor in the
United States (3 credits)
Prerequisite: HIST156, HIST157,
HIST210, HIST211, HIST222, HIST254,
HIST255, HIST265, or HIST275; or
permission of instructor.
The American working class in terms of its
composition; its myths and Utopias; its social
conditions; and its impact on American
institutions.
HIST 461 Blacks in American
Life: 1865 to Present (3 credits)
Prerequisite: HIST157, HIST210,
HIST211, HIST222, HIST254, HIST255,
HIST265, or HIST275; or permission of
instructor.
The role of the Black in America since
slavery, with emphasis on 20th-century
developments: migration from farm to city;
growth of the civil rights movement; the race
question as a national problem.
HIST 462 The United States Civil
War (3 credits)
Prerequisite: HIST156, HIST210,
HIST213, HIST222, HIST254, or
HIST275; or permission of instructor.
Causes of the Civil War; sectional politics and
secession; resources and strategy of the
Confederacy and the Union; changing
character of the war; emancipation and its
consequences: economic, social and political
conditions on the home front; and the wartime
origins of Reconstruction. Not a military
history course; little attention to the tactics of
particular battles.
HIST 463 History of the Old
South (3 credits)
The golden age of the Chesapeake, the
institution of slavery, the frontier South, the
antebellum plantation society, the
development of regional identity and the
experiment in independence.
HIST 464 The North Atlantic
World in the Early Modern
Period, 1600-1800 (3 credits)
Not open to students who have completed
HIST260.
The American Colonies and the new American
nation: their European heritage and influences.
HIST 466 Immigration and
Ethnicity in the U.S. (3 credits)
Prerequisite: Any one of the following
courses:AAST201, AAST200, AAST222,
HIST156, HIST157, HIST221, or
HIST222; or permission of department.
Credit will be granted for only one of the
following: AAST498L or HIST466.
Seminar exploring historical problems relating
to US immigration, race, and ethnicity since
1848, with emphasis on cultural impacts of
migration on immigrants, their children, and
U.S. society.
HIST 467 Women and Reform
Movements in the Twentieth-
Century United States (3
credits)
Recommended: HIST211; or HIST157; or
HIST255. Formerly: HIST419W and
HIST429E.
Investigation of women's participation in such
twentieth-century reform movements as the
labor movement, the struggle for racial justice,
social welfare reform, and women's
movements. Will ask how race, class, and
gender were implicated in the ways that
women agitated for social political change.
HIST 469 Study Abroad Special
Topics IV (1-6 credits)
Repeatable to 15 credits if content differs.
Special topics course taken as part of an
approved study abroad program.
HIST 471 History of Brazil (3
credits)
Prerequisite: HIST250, HIST251,
LASC234, or LASC235; or permission of
instructor.
The history of Brazil with emphasis on the
national period.
HIST 472 History of the
Argentine Republic (3 credits)
Concentration upon the recent history of
Argentina with emphasis upon the social and
economic development of a Third World
nation.
HIST 473 History of the
Caribbean (3 credits)
Offers a concise introduction to the history of
the Caribbean regions from the Columbian
voyages to the 20th-century. Special emphasis
is given to the dynamics of local social and
cultural formations within the framework of
the political and economic history of the
Atlantic world.
HIST 474 History of Mexico and
Central America I (3 credits)
Prerequisite: HIST251, LASC234, or
LASC235; or permission of instructor.
History of Mexico and Central America,
beginning with the Pre-Spanish Indian cultures
and continuing through European contact,
conquest, and colonial dominance, down to the
beginning of the Mexican War for
Independence in 1810.
HIST 475 History of Mexico and
Central America II (3 credits)
Prerequisite: HIST251, LASC234, or
LASC235; or permission of instructor.
A continuation of HIST474 with emphasis on
the political development of the Mexican
nation.
HIST 476 Jews in Medieval
Times 1000-1450 (3 credits)
Recommended: HIST282 or JWST234;
HIST330 or HIST331. Also offered as
JWST432. Credit will be granted for only
one of the following: HIST419R, HIST476,
or JWST432. Formerly HIST419R.
Social and cultural life of Jewish communities
spread throughout Islam and Christendom.
Major topics include the Gaonate, kehila
organizations, legal, rationalist and mystical
thought, and the context of rising animosity
against Jews linked to the Crusades and
changing Church doctrines.
455
HIST 480 History of Traditional
China (3 credits)
China from earliest times to 1644 A.D.
Emphasis on the development of traditional
Chinese culture, society, and government.
HIST 481 A History of Modern
China (3 credits)
Modern China from 1644 to the People's
Republic of China. Emphasis on the coming of
the West to China and the various stages of the
Chinese reaction.
HIST 482 History of Japan to
1800 (3 credits)
Traditional Japanese civilization from the age
of Shinto mythology and introduction of
continental learning down to the rule of
military families, the transition to a money
economy, and the creation of a townsmen's
culture. A survey of political, economic,
religious, and cultural history.
HIST 483 History of Japan Since
1800 (3 credits)
Japan's renewed contact with the Western
world and emergence as a modern state,
industrial society, and world power, 1800-
1931; and Japan's road to war, occupation, and
recovery, 1931 to the present.
HIST 484 Cultural History of the
Chinese Revolutions (3 credits)
Recommended: HIST285 or HIST481.
Credit will be granted for only one of the
following: HIST419G or HIST484.
Formerly HIST419G.
Examines the cultural origins, experience, and
results of the Cultural Revolution in China.
HIST 486 Social Issues in
Modern China (3 credits)
Recommended: HIST285 and HIST481.
Credit will be granted for only one of the
following: HIST419N (Fall 2007), or
HIST486. Formerly HIST419N.
Explores the problems surrounding family,
community, and social life in modern China,
including a focus on issues that affect groups
and subcultures within the population.
Examines as well the political system's
capacity to regulate this complex society.
HIST 491 History of the Ottoman
Empire (3 credits)
Survey of the Ottoman Turkish Empire from
1300 A.D. to its collapse during World War I.
Emphasis on the empire's social and political
institutions and its expansion into Europe, the
Arab East and North Africa.
HIST 492 Women and Society in
the Middle East (3 credits)
Recommended: prior coursework in Middle
East studies or gender studies. Also offered
as WMST456. Credit will be granted for
only one of the following: HIST492 or
WMST456.
Examines the customs, values and institutions
that have shaped women's experience in the
Middle East in the past and in the
contemporary Middle East.
HIST 493 Victorian Women in
England, France, and the United
States (3 credits)
Also offered as WMST453. Credit will be
granted for only one of the following:
HIST493orWMST453.
Examines the lives of middle and upper-class
women in England, France, and the United
States during the Victorian era. Topics include
gender roles, work, domesticity, marriage,
sexuality, double standards, and women's
rights.
HIST 495 Women in Medieval
Culture and Society (3 credits)
Also offered as WMST455. Credit will be
granted for only one of the following:
HIST495orWMST455.
Medieval women's identity and cultural roles:
the condition, rank and rights of medieval
women; their access to power; a study of
women's writings and the constraints of social
constructs upon the female authorial voice;
and contemporary assumptions about women.
HIST 496 Africa Since
Independence (3 credits)
Analysis of socio-political and econo-political
changes in Africa since approximately 1960;
development of class structures, the role of the
military, personal rule and the patrimonial
state; decline of party politics and
participatory politics. Discussion of changes in
economic policies, policies with respect to
rural communities, and their relationship to the
state and decision-making.
HIST 497 Islam in Africa (3
credits)
The introduction of Muslims and Islam into
Africa from approximately the 8th to 19th-
century. Impact of Islam on a regional-cultural
basis, as well as Islam in state development
and in political theory. The impact of Islam on
social structures, e.g., domestic African
slavery. Role of Islam in resistance
movements against imperialism and
colonization, and the place of Islam in
independence and post-independence
movements.
HIST 499 Independent Study (1-
3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits.
HIST 600 Historiography (3
credits)
Historical writing and critical analysis of
selected interpretations and generalizations
made by leading historians with examples
from both European and United States history.
HIST 601 History and
Contemporary Theory (3
credits)
An introduction to contemporary theories in
philosophy, literary criticism, cultural studies,
anthropology, and other fields; and analysis of
their usefulness to historians.
HIST 605 General Seminar:
World History (3 credits)
For HIST majors only.
Classic and recent interpretations in
comparative history with emphasis on current
directions of scholarship and research.
Students previously enrolled in HIST 605 for 1
credit hour may enroll.
HIST 606 Seminar in the History
and Philosophy of Science and
Technology (3 credits)
Also offered as PHIL 650. Credit will be
granted for only one of the following: HIST
606 or PHIL 650.
Fundamental problems and current research in
the history of science and technology; theories
of historical change applied to selected cases
in physical and biological science and in
technology; historiographic and philosophical
issues pertaining to these cases.
HIST 607 The Teaching of
History in Institutions of Higher
Learning (1 credits)
HIST 608 General Seminar (3
credits)
Prerequisite: permission of department.
Repeatable to 09 credits if content differs.
General seminar in student's major field of
study (e.g., U.S.; Women and Gender;
International, World, and Comparative;
Science and Technology; Latin America)
exploring the concentration's major issues,
topics, and literature.
HIST 609 Readings in the
History of Science and
Technology (3 credits)
HIST 610 Introduction to
Museum Scholarship (3 credits)
Restricted to graduate students in American
Studies, Anthropology, Historic
Preservation, or History (including HILS),
or others by permission of department.
Also offered as AMST655. Credit will be
granted for only one of the following:
AMST638C, AMST655, HIST610, or
HIST619C. Formerly HIST619C.
Provides students a basic understanding of
museums as cultural and intellectual
institutions. Topics include the historical
development of museums, museums as
resources for scholarly study, and the museum
exhibition as medium for presentation of
scholarship.
HIST 618 Readings in the
History of Women (3 credits)
HIST 619 Special Topics in
History (1-3 credits)
HIST 628 Readings in Colonial
American History to 1763 (3
credits)
Major historical literature on various groups
and developments in the European colonies
that later became the United States through the
period ending with the British-French "Great
War for Empire."
HIST 629 Readings in the
American Revolution and New
Nation, 1763 to 1812 (3 credits)
HIST 638 Special Topics in
History (3 credits)
Repeatable to 9 credits if content differs.
Special Topics in History.
HIST 639 Special Topics in
History (3 credits)
Repeatable to 9 credits if content differs.
Special Topics in History.
HIST 648 Readings in Early
20th-century America, 1900-
1941 (3 credits)
Major historical literature on various groups
and developments in the United States
between the Progressive Era and the beginning
of World War II.
HIST 649 Readings in Recent
American History, 1941 -Present
(3 credits)
Key subjects, themes, and historiographic
debates in the history of the United States
from 1941 to the present.
HIST 657 Readings in American
Religious History (3 credits)
Major historical literature on various groups
456
and developments in the history of religion in
the United States from the colonial period to
the present.
HIST 658 Readings in American
Constitutional and Legal History
(3 credits)
Historical literature on the American
constitutional order from the colonial
foundations to the present. The founding and
development of political and constitutional
institutions examined from the perspectives of
law, politics, government and political
philosophy.
HIST 659 Readings in American
Cultural and Intellectual History
(3 credits)
Major historical literature pertinent to the
cultural/intellectual development of the varied
peoples of the United States.
HIST 668 Readings in American
Social History (3 credits)
Major historical literature related to specific
issues in the social history of the United
States.
HIST 669 Readings in U.S.
Economic and Business History
(3 credits)
Repeatable to 6 credits.
An overview of U.S. economic and business
history and historiography from colonial times
to the present. Emphasizes the methodologies
of "new" economic historians and institutional
business historians, the evolving role of the
state in the American economy, and cultural
dimensions of economic change.
HIST 678 Readings in American
Labor History (3 credits)
Major historical literature related to the
development of the American working class,
the labor movement, and gender/racial/ethnic
issue within them.
HIST 679 Readings in the
History of American Foreign
Policy (3 credits)
Major historical literature related to the
diplomacy and international relations of the
United States.
HIST 686 Readings in the North
Atlantic World (3 credits)
The American Colonies and the early United
States as part of the early modern North
Atlantic community (1600-1815) brought
together by such things as a common culture,
trade networks, religious currents, shared
scientific interests, similar attitudes to society,
and an emerging appreciation of consumer
goods.
HIST 687 Readings in North
American Frontiers and
Borderlands (3 credits)
Examines two interpretive trends in North
American history: first, a general rethinking of
the usefulness of 'frontier' as a conceptual and
ideological framework, and second, a new
emphasis on 'borderlands' as analytically
fertile ground for understanding relations
between cultures, economies, genders, local
societies, and states.
HIST 689 Readings in Southern
History (3 credits)
Major historical literature centered on the
development and peoples of the southern
United States.
HIST 708 Directed Independent
Reading for Comprehensive
Examinations I (1-4 credits)
One hour of discussion/recitation per week.
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
Directed reading in preparation for Doctoral
Comprehensive Examinations. In consultation
with their advisors, students will select a
number of books and articles from an
approved list. Grading for the course will
reflect performance on the written and oral
sections of the Comprehensive Examinations.
HIST 709 Directed Independent
Reading for Comprehensive
Examinations II (1-4 credits)
One hour of discussion/recitation per week.
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
Directed reading in preparation for Doctoral
Comprehensive Examinations In consultation
with their advisors, students will select a
number of books and articles from an
approved list. Grading for the course will
reflect performance on the written and oral
sections of the Comprehensive Examinations.
HIST 711 Final Project in
Historic Preservation II (2
credits)
Credit will be granted for only one of the
following: HISP700 or HISP7 1 1 . Formerly
HISP700.
An independent, applied research project
investigating the preservation of a particular
site or a specialized issue in historic
preservation. This is part two of a two-
semester sequence and involves project
research and writing.
HIST 718 Readings in Medieval
History (3 credits)
HIST 719 Readings in the
History of the Renaissance and
Reformation (3 credits)
HIST 729 Readings in Modern
European History (3 credits)
Reading knowledge of some European
language recommended but not required.
HIST 739 Readings in the
History of Great Britain (3
credits)
HIST 748 Readings in Modern
French History (3 credits)
HIST 749 Readings in German
History, 1815 to the Present (3
credits)
Repeatable to 9 credits if content differs.
Reading knowledge of German is encouraged,
but not required.
HIST 758 Readings in Eastern
European History (3 credits)
Repeatable to 6 credits if content differs.
Selected topics in the history of the Hapsburg
monarchy and the successor states, Poland and
the Balkans. Emphasis on the rise of
nationalism during the 19th century and the
experience with fascism and communism in
the 20th century.
HIST 759 Readings in Russian
and Soviet History (3 credits)
HIST 768 Readings in Chinese
History (3 credits)
HIST 769 Readings in Japanese
History (3 credits)
HIST 778 Readings in Latin
American History (3 credits)
HIST 779 Readings in Middle
Eastern History (3 credits)
HIST 788 Readings in European
Economic and Labor History (3
credits)
Selected topics in European economic history
from 1648 to the second World War. Attention
to the mainsprings of industrialization, the
economic consequences of war and revolution,
and the variety of European labor movements.
An introduction to the use of quantitative
methods is provided.
HIST 789 Readings in Modern
European Intellectual History (3
credits)
HIST 798 Readings in Jewish
History (3 credits)
Repeatable to 6 credits.
Readings on selected topics in Jewish history.
Emphasis on analysis of primary sources.
Reading knowledge of Hebrew recommended.
HIST 799 Master's Thesis
Research (1-6 credits)
HIST 808 Seminar in the History
of Science and Technology (3
credits)
Prerequisite: HIST 609 or permission of
instructor.
HIST 809 Seminar in the History
of Women (3 credits)
HIST 810 Museum Research
Seminar (3 credits)
Prerequisite: H1ST610. Also offered as
AMST856. Credit will be granted for only
one of the following: AMST638D,
AMST856, HIST810 or HIST819D.
Formerly HIST819D.
A research seminar focusing on the practice
and presentation of cultural and historical
scholarship in museums and historical sites.
Students will complete an original research
project on the challenges and opportunities of
public exhibition and interpretation of cultural
and historical research.
HIST 811 Museum Scholarship
Practicum (3-6 credits)
Prerequisite: HIST810 and permission of
Museum Scholarship Program. Also
offered as AMST857. Credit will be
granted for only one of the following:
AMST857orHIST811.
Students devise and carry out a research
program using the collections at the
Smithsonian Institution or some other
cooperating museum, working under joint
supervision of a museum professional and a
university faculty member.
HIST 819 Special Topics in
History: Independent Research
(1-3 credits)
Prerequisite: permission of department. For
HIST majors only. Repeatable to 6 credits
if content differs.
Individual graduate research in an area not
covered by current seminar offerings. The
product will be a finished research paper
normally based on original materials.
457
HIST 820 Seminar in Chinese
History (3 credits)
HIST 821 Seminar in Japanese
History (3 credits)
HIST 829 Seminar in Latin
American History (3 credits)
HIST 838 Seminar in Ancient
History (3 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits.
HIST 839 Seminar in Medieval
and Early Modern European
History (3 credits)
HIST 840 Seminar in Greek
History (3 credits)
HIST 841 Seminar in Roman
History (3 credits)
HIST 844 Seminar in the History
of the Renaissance and
Reformation (3 credits)
HIST 848 Seminar in Modern
European History (3 credits)
HIST 849 Seminar in Russian
and Soviet History (3 credits)
HIST 850 Seminar in East
European History (3 credits)
Research papers on the history of the lands
which are now Austria, Hungary,
Czechoslovakia, Poland and the Balkan states,
from the 1 8th century to the present.
HIST 851 Seminar in German
History (3 credits)
Prerequisite: HIST 749 or permission of
instructor.
Reading knowledge of German is required.
HIST 855 Seminar in Modern
European Intellectual History (3
credits)
HIST 856 Seminar in Modern
European Diplomatic History (3
credits)
Prerequisite: reading ability of either
French or German.
A course in modern European history.
HIST 857 Seminar in the Social
and Cultural History of Europe
(3 credits)
Research methods for multi-generational
family history, the comparative study of folk
cultures, and the study of creative minorities.
Includes a general introduction to research in
European society and culture.
HIST 858 Seminar in the History
of Great Britain (3 credits)
HIST 859 Seminar in History of
Modern Wars (3 credits)
HIST 869 Seminar in Recent
American History (3 credits)
HIST 878 Seminar in Colonial
American History (3 credits)
HIST 879 Seminar in the
American Revolution and
Formative Period (3 credits)
HIST 880 Seminar in Southern
History (3 credits)
HIST 888 Seminar in the Middle
Period and Civil War (3 credits)
HIST 890 Seminar in American
Culture and Ideas (3 credits)
HIST 892 Seminar in American
Social History (3 credits)
HIST 893 Seminar in the
Economic History of the United
States (3 credits)
A research-writing seminar dealing with
selected topics in American economic
development from the colonial period to the
present.
HIST 894 Seminar in American
Labor History (3 credits)
Advanced research and writing on selected
topics in the history of American workers,
their conditions, communities, organizations
and ideas.
HIST 895 Seminar in American
Constitutional History (3 credits)
HIST 896 Seminar in the History
of American Foreign Policy (3
credits)
HIST 898 Pre-Candidacy
Research (1-8 credits)
HIST 899 Doctoral Dissertation
Research (1-8 credits)
Health and Human
Performance (HLHP)
HLHP 615 Crises of Aging:
Time, Retirement and
Widowhood (3 credits)
Formerly PERH615.
A cross-disciplinary and multidisciplinary
investigation of phenomena which comprise a
significant portion of the issues confronting an
older adult's life: (1) introduction to multiple
processes of adulthood and aging; (2) the
concepts and meaning of time; (3) pre-
retirement and retirement adjustments; and (4)
loss and widowhood.
HLHP 625 Issues in Retirement:
Theory and Practice (3 credits)
Formerly PERH625.
Multidisciplinary examination of retirement
phenomena, including theories of transition,
government and private sector policies, social
expectations, physical correlates, personal
adjustments, and economic consequences.
Emphasis upon research utilization.
HLHP 688 Field Work in Aging
(1-6 credits)
Two hours of lecture and 10 hours of
laboratory per week. Prerequisite:
permission of department. Formerly
PERH688.
Sequences of supervised field experience in
the field of aging, including direct service,
administration, research, or training. Emphasis
on career exploration and assessment in
relation to the field of aging.
HLHP 689 Selected Problems in
Health, Physical Education and
Recreation (1-6 credits)
Formerly PERH689.
Research projects in special areas in health,
physical education and/or recreation which
have interdisciplinary implications not covered
in structured courses.
HLHP 780 Interdisciplinary
Issues in Aging (3 credits)
Formerly PERH780.
Multidisciplinary approaches to the processes
of aging to achieve a more holistic
understanding. Pedagogical research
dissemination, peer instruction, guest
lecturing, and informal discussion. The
demonstration of the multilateral nature of
growing older. Discussion of cross-
disciplinary and interdisciplinary research
proposals.
Health Services
Administration
(HLSA)
HLSA 601 Introduction to Health
Systems (3 credits)
Formerly: HLTH688A or HLTH740. Not
open to students who have completed
HLTH688A or HLTH740. Credit will be
granted for only one of the following:
HLSA601, HLTH688A, or HLTH740.
Management and leadership skills for effective
public health planning, organization,
management and administration. Emphasis is
on the role of institutions in learning and
behavioral change process, organizational
theory, administration management, and
coordinating provision of community health
services.
HLSA 688 Independent Study (1-
6 credits)
Prerequisite: permission of department.
Repeatable to 9 credits if content differs.
Master or doctoral students who desire to
pursue special research problems under the
direction of a faculty memeber of the
department may register for 1-6 hours of credit
under this number.
HLSA 689 Field Work in Aging
(1-6 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Formerly SPHL688.
Individual instruction course.
HLSA 702 Policy and Politics of
Health (3 credits)
Organizational and financial components of
teh U.S. health care system, including social
and political forces that bind the system.
Advanced political analysis of the health care
system, including key issues and problems.
HLSA 710 Healthcare
Mangement: Foundations and
Principles (3 credits)
Two hours of lecture and one hour of
discussion/recitation per week. For HLSA
majors only.
Concepts and managerial activities essential to
achieve the goals of health care organizations
are examined and discussed. Managerial
processes include planning, decision-making,
etc. required to operate and change health care
organizations will be discussed. Special
emphasis will be placed on the
leader/managers role in developing and
maintaining an effective system for providing
healthcare.
HLSA 711 Health Economics
and Analysis (3 credits)
Provides an analysis of health and health care
services as economic goods. Using
microeconomic theories, we will examine the
behavior of health care providers, consumers,
markets, and firms.
458
HLSA 720 Health Law and
Ethics (3 credits)
Two hours of lecture and one hour of
laboratory per week.
The legal system helps determine the
relationships prevailing among individuals,
institutions and governments by setting out the
rights, duties and powers of the various
parties. This course will look at some of the
more important concepts the law uses within
the context of health services and public
health.
HLSA 730 Healthcare Human
Resources (3 credits)
Two hours of lecture and one hour of
discussion/recitation per week.
Provides an introduction to the management of
human resources in the healthcare setting
using basic human resources management
doctrine common to all industries. Content
includes principles and methods of personnel
including employment, recruitment, selection,
retention, training and development,
compensation including wage and salary
administration performance appraisal, job
analysis and labor relations.
HLSA 740 Healthcare Strategic
Planning and Marketing (3
credits)
Two hours of lecture and one hour of
discussion/recitation per week. For HLSA
majors only.
Provides an understanding of strategic
management and marketing enabling the
student to lead the process of strategic
planning in a health care organization. By
conducting a marketing and strategic planning
process, health care organizations are better
able to cope with dramatic changes in
technological, social, political, regulatory, and
competitive aspects of the health care market.
Through course reading, class discussion,
analyses of secondary quantitative and
qualitative data, and presentation of case
studies, students gain a thorough
understanding of the process of strategic
management.
HLSA 750 Healthcare
Management Information
Systems (3 credits)
Two hours of lecture and one hour of
discussion/recitation per week.
Provides a background and overview of the
analysis, design, evaluation, selection,
installation, use, and mangement of
information systems in health care settings.
Students will review the information
management function and value of information
and the role of information technology in the
provision of high quality care and
management decision making. Details on
computer hardware, software, networking, and
telecommunications sufficient for
understanding of concepts relevant to health
care managers and staff will be addressed.
HLSA 760 Healthcare Financial
Management (3 credits)
Two hours of lecture and one hour of
discussion/recitation per week. For HSLA
majors only.
Offers content in health services financial
management with emphasis on applying
traditional financial theories to health care and
the health care system. Focus on decision-
making using accounting and finance theories,
principles, concepts and techniqes most
important to health care leaders.
HLSA 765 Oral and Written
Communication in Healthcare (3
credits)
Acquaint students with a variety of types of
professional writing required of health service
professionals, including: grant proposals;
journal articles; textbooks; presentation
proposals and papers; and theses and
dissertations.
HLSA 770 Continuous Quality
Improvement in Healthcare (3
credits)
Two hours of lecture and one hour of
discussion/recitation per week.
Designed for the health care professional or
administrator involved in quality assurance in
health care. Course includes historical
beginnings, state-of-the-art, voluntary,
governmental efforts, and tools to promote
quality assurance.
HLSA 772 Healthcare
Leadership and
Communications (3 credits)
Health care administrators rely on
transformational leadership skills and insights
to help their organizations rise to the social
financial, public health, and technological
challenges of the future. Students will assess
and develop their leadership strengths, apply
key leadership communication principles, and
critically analyze relevent leadership models,
exploring their utility in addressing key
leadership issues in health care organizations.
An underlying theme will be the identification
of core values involved in health care delivery,
integration of those values in personal and
organizational missions, and effective
communication to stakeholders.
HLSA 780 Qualitative Methods
for Health Services Research (3
credits)
Qualitative research is a multi-methods
approach to the study of social interactions in
natural settings. Through triangulation of
methods, the researcher attempts to make
sense of, or interpret, phenomema in terms of
the meanings people bring to them.
HLSA 785 Internship in Public
Health (3 credits)
Prerequisite: permission of department.
Internship and seminar providing an
opportunity to apply previously acquired
knowledge and skills in a health or allied
health organization. Setting of the internship
will depend upon the student's background and
career goals.
HLSA 786 Capstone Project in
Public Health (3 credits)
Prerequisite: permission of department.
Capstone experience provding opportunity to
apply knowledge and skills to a specific public
health problem or issue. Completion of project
relevant to public health under the direction of
an advisor.
HLSA 788 Critical Readings in
Health Services Research (3
credits)
Repeatable to 9 credits if content differs.
Current and classic readings and research on
various aspects of the health services research
including the health care system, health care
policy and social science research on health
(including economics, psychology, political
science, sociology etc.). The readings will be
critically analyzed and applied to students
research and current research as well as
applications to health services research issues.
HLSA 790 Advanced Methods in
Health Services Research (3
credits)
Two hours of lecture and one hour of
discussion/recitation per week.
Prerequisite: EPIB650 and EPIB651; or
consent of instructor.
Provides an in-depth examination of health
services research literature with emphasis on
methodological scrutiny and application of
methods beyond linear regression such as
categorical regression, survival analysis,
selection issues, and endogeniety.
HLSA 799 Master's Thesis
Research (1-6 credits)
HLSA 898 Pre-Candidacy
Research (1-8 credits)
HLSA 899 Doctoral Dissertation
Research (1-8 credits)
Health (HLTH)
HLTH 400 Service/Learning in
Health Education (3 credits)
Prerequisite: permission of department; For
HLTH ED majors only. Junior standing.
Application of health education knowledge
and skills to serve health education needs in
the community. Combines community service
with preparation and reflection.
HLTH 420 Methods and
Materials in Health Education (3
credits)
Prerequisite: HLTH391.
The purpose of this course is to present the
interrelationships of curriculum planning,
methodology and the selection and use of
teaching aids and materials. Special problems
associated with health teaching are discussed.
Students become familiar with a variety of
resources as well as with planning for and
presenting demonstration lessons.
HLTH 430 Health Education in
the Workplace (3 credits)
A survey of the role of health education in
work settings. Examination of occupational
stress, the health effects of shift work,
women's health in the workplace, health
education approaches to informing workers
and management, and health promotion
programs in the workplace.
HLTH 434 Introduction to Public
Health Informatics (3 credits)
Prerequisite: HLTH130. Not open to
students who have completed HLTH498E.
Credit will be granted for only one of the
following: HLTH434 or HLTH498E.
Formerly HLTH498E.
Provides an overview of the field of public
health informatics and the influence of
technology on the public's health and well-
being. Emphasizes the application of various
technologies and computer/internet
applications to support public health research
and practice, including strategies to address
new and emerging threats.
HLTH 437 Consumer Behavior
(3 credits)
Prerequisites: PSYC100; and SOCY100.
An application of the behavioral sciences to a
study of consumer behavior. Current theories,
459
models and empirical research findings are
explored.
HLTH 460 Minority Health (2-6
credits)
Prerequisite: HLTH140 or HLTH230 or
permission of department.
Health concerns of U.S. ethnic minority
groups and factors placing them at elevated
risk for disease and injury. Health education
concepts and strategies to reduce disparities
between their health status and the health
status of the aeneral population.
HLTH 471 Women's Health (3
credits)
Also offered as WMST471. Credit will be
granted for only one of the following:
HLT471 orWMST471.
The historical, physiological, psychological,
and sociological mechanisms which contribute
to women's health. Topics will include
gynecological concerns and reproductive
health; nutrition, exercise; violence; substance
use/abuse; and the health of special
populations.
HLTH 476 Death Education (3
credits)
Examination of the genesis and development
of present day death attitudes and behavior by
use of a multidisciplinary life cycle approach.
HLTH 485 Ways of Knowing
About Human Stress and
Tension (3 credits)
Prerequisite: HLTH285. Not open to
students who have completed HLTH498T.
A critical examination of propositions
describing the nature of the human condition
and the consequences of the propositions on
human stress and tension.
HLTH 489 Field Laboratory
Projects and Workshop (1-6
credits)
Note: the maximum total number of credits
that may be earned toward any degree in
kinesiology or health education under
KNES or HLTH489 is six.
A course designed to meet the needs of
persons in the field with respect to workshop
and research projects in special areas of
knowledge not covered by regularly structured
courses.
HLTH 490 Principles of
Community Health II (3 credits)
Two hours of lecture and four hours of
laboratory per week. Prerequisite:
HLTH391.
Students will be involved in the applied
aspects of community health education. They
will work with specific local community
groups, planning, developing, implementing
and evaluating a community health project.
Health agencies and community health
marketing techniques will be investigated.
HLTH 491 Community Health
Internship (12 credits)
40 hours of laboratory per week. For
community health majors only.
Prerequisite: HLTH490.
Integrating theory with practice in a
community health setting.
HLTH 498 Special Topics in
Health (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Topics of special interest in areas not covered
by regularly scheduled courses.
HLTH 606 Foundations of Public
Health Education and Policy (3
credits)
For CHED and PCHL majors only. Not
open to students who have completed
HLTH 605 and HLTH 688E.
Examines foundations and content of two
professions, health education and public
health, including history, mission,
terminology, philosophy, ethical principles
and scientific foundations. Emerging and
reemerging threats to the public's health will
be discussed, as well as societal influences on
health and health policy. Also addresses
professional competencies and preparation,
and the role of professional organizations.
HLTH 652 Quantitative Research
Methods I in Public health (3
credits)
Prerequisite: HLTH 651, HLTH 688B or
equivalent. For CHED and PCHL majors
only. Not open to students who have
completed HLTH 688R. Credit will be
granted for only one of the following:
HLTH 652 or HLTH 688R. Formerly
HLTH688R.
Intermediate statistics and procedures in public
health-related research for doctoral students.
Focuses on applied statistics rather than
theoretical, with emphasis on 1) how to apply
statistical models, 2) how to perform the
analysis with avialable software, and 3) how to
interpret findings.
HLTH 653 Quantitative Research
Methods II in Public Health (3
credits)
Prerequisite: HLTH 651 or equivalent; and
HLTH 652. For HLTH majors only. Credit
will be granted for only one of the
following: HLTH 653 or HLTH 688T.
Formerly HLTH688T.
Intermediate and advanced statistics and
procedures in health- related research for
doctoral students with the focus on
applications of these statistical methodological
methods to public health research.
HLTH 665 Health Behavior I (3
credits)
The psychological, social psychological, and
sociological theories of health behavior. The
relation of health knowledge, beliefs, attitudes,
intentions, and behavior to preventive, illness,
sick-role, and health utilization behaviors.
HLTH 666 Health Behavior II (3
credits)
Prerequisite: HLTH 665.
An advanced course with intensive training in
health behavior research and the opportunity
to carry out original research in health
behavior. Patient-provider interaction, patient
cooperation with medical treatment and other
social and psychological influences on health
care.
HLTH 670 Public Health
Informatics and
Communications (3 credits)
For CHED and PCHL majors only. Not
open to students who have completed
HLTH 688M. Credit will be granted for
only one of the following: HLTH 670 or
HLTH 688M. Formerly HLTH688M.
Explores the use of current technology and
communication techniques in the areas of
public health research, planning, intervention
and evaluation.
HLTH 688 Special Problems in
Health Education (1-6 credits)
HLTH 710 Methods and
Techniques of Research (3
credits)
HLTH 711 Advanced Research
Methods in Health (3 credits)
Prerequisite: HLTH 710. For CHED and
PCHL majors only.
Quantitative techniques, advanced research
methods and design issues.
HLTH 712 Applied Research
Methods in Behavioral and
Community Health (3 credits)
Prerequisite: HLTH710.
Designed to build on the research skills
obtained in HLTH710 and other fundamental
research methods and statistics courses.
Methods and problems that are commonly
encountered in health education research will
be discussed including examination of actual
research studies. Complex behavioral research
issues will be addressed with existing research
data sets. With these data sets, students will
develop an analytic plan and conduct data
analysis.
HLTH 740 Community Health
and Administration (3 credits)
For CHED and PCHL majors only. Not
open to students who have completed
HLTH 688A or HLTH 760.
Management and leadership skills for effective
public health planning, organization,
management and administration. Emphasis is
on role of institutions in learning and
behavioral change process, organizational
theory, administration management, and
coordinating provision of community health
services.
HLTH 742 Professional Writing
and Presentations (3 credits)
For CHED and PCHL majors only. Not
open to students who have completed
HLTH 688W. Credit will be granted for
only one of the following: HLTH 742 or
HLTH 688 W. Formerly HLTH688W.
Acquaints students with various types of
professional writing required of public health
professionals, including: grant proposals;
journal articles; textbooks; presentation
proposals and papers; and theses and
dissertations. Includes both the form and
content of technical documents as well as the
processes of writing, peer review, and critique.
HLTH 775 Health Education
Program Planning and
Evaluation (3 credits)
Prerequisites: HLTH 710 and permission of
department.
A systematic approach to the planning and
evaluation of Health Education programs.
Diagnosis of the social, psychological,
educational and administrative aspects of the
health education program. Program
monitoring, rigorous methods of impact
assessment, and the measurement of
efficiency.
HLTH 780 Community Health (3
credits)
Not open to HLTH students who have
completed HLTH740. Credit will be
460
granted for only one of the following:
HLTH740orHLTH780.
Overview of public health organizations,
programs, and policies, including their
structure and function, and their ability to
change with changing community health
needs.
HLTH 781 Advanced Theory and
Applications in Health (1
credits)
Prerequisite: HLTH665, HLTH710, and
permission of department. Credit will be
granted for only one of the following:
HLTH781, HLTH782, and HLTH783; or
HLTH688D. Formerly HLTH688D.
Seminar course to examine theory-based
public health research through small group
discussion between faculty and students.
HLTH 782 Advanced Research
Methods in Health (1 credits)
Prerequisite: HLTH781 and permission of
department. Credit will be granted for only
one of the following: HLTH781,
HLTH782, and HLTH783; or HLTH688D.
Formerly HLTH688D.
Seminar course to examine theory-based
public health research through small group
discussion between faculty and students.
HLTH 783 Individual Research
Plan in Health (1 credits)
Prerequisite: HLTH782 and permission of
department. Credit will be granted for only
one of the following: HLTH781,
HLTH782, and HLTH783; or HLTH688D.
Formerly HLTH688D.
Seminar course to examine theory-based
public health research through small group
discussion between faculty and students.
HLTH 785 Internship in Public
Health (3 credits)
Prerequisites: {HLTH 665; and HLTH 775;
and HLTH 780}; or permission of
department.
Internship and seminar providing an
opportunity to apply previously aquired
knowledge and skills in a health or allied
health organization. Setting of the internship
will depend upon the student's background and
career goals.
HLTH 786 Capstone Project in
Public Health (3 credits)
Prerequisite: All required course work and
permission of department. For HLTH
majors only.
Capstone experience providing opportunity to
apply knowledge and skills to a specific public
health problem or issue. Completion of project
relevant to public health under the direction of
an advisor.
HLTH 799 Master's Thesis
Research (1-6 credits)
HLTH 898 Pre-Candidacy
Research (1-8 credits)
HLTH 899 Doctoral Dissertation
Research (1-8 credits)
Information
Management (INFM)
INFM 600 Information
Environments (3 credits)
Role and function of information in
organizations. Organizational environment and
its influence on internal and external
communication, organizational structure and
management, organizational culture,
information flow, organizational identity.
Shared mental models and group decision ma
king. Differences among types of
organizations. Information policy.
INFM 603 Information
Technology and Organizational
Context (3 credits)
Not open to students who have completed
LBSC 690.
Application of communication and
information technologies to support work
processes, including technology-enhanced
communication networks, computer-supported
collaborative work, decision-support systems,
interactive systems, and systems analysis.
Acquisition of information systems and their
integration into the organization.
INFM 605 Users and Use
Context (3 credits)
Use of information by individuals. Nature of
information. Information behavior and mental
models. Characteristics of problems, task
analysis, problem solving, and decision
making. Methods for determining information
behavior and user needs. Information access.
Information technology as a tool in
information use.
INFM 612 Management of
Information Programs and
Services (3 credits)
Administration of information programs,
services, and projects, including the role of
leadership in management; developing
mission, vision, and goals; providing effective
management for results; managing
professionals; financial management; and
professional conduct and ethical issues.
INFM 613 Systems Analysis and
Design (3 credits)
Prerequisite: INFM 603.
Formal process for planning and designing an
information technology system, including
identifying users and other stakeholders,
analyzing work processes, preparing system
specifications, conducting feasibility and
usability studies, and preparing for
implementation. Approaches to analyzing
system components and functions.
Measurement and evaluation of system
performance.
INFM 620 Introduction to
Strategic Information
Management (3 credits)
Defining and identifying strategic information
in an organization. Characteristics of strategic
information management, including the
principles, practices, issues, and programs
involved with the strategic management and
protection of information in organizations.
INFM 700 Information
Architecture (3 credits)
Prerequisite: INFM603 or permission of
instructor.
Principles and techniques of information
organization and architecture for the Web
environment. Structured description of digital
resources, including data modeling techniques,
metadata schemes, and user-oriented
navigation systems.
INFM 702 User Interaction with
Information Systems (3 credits)
Interactive user interfaces for information
systems, including models of human
information processing and decision making.
Techniques of usability evaluation.
INFM 706 Project Management
(3 credits)
Prerequisite: INFM 600, INFM 603 and
INFM 605; or permission of instructor.
Management of projects through planning and
execution of life cycle phases. Includes
estimating costs, managing risks, scheduling,
staff and resource allocation, team building,
communication, tracking, control and other
aspects of successful project completion.
INFM 711 Financial Management
of Information Projects (3
credits)
Prerequisite: INFM600,. Pre- or
corequisite: INFM612.
Techniques and strategies of planning and
executing successful projects. Project budgets,
work breakdown structures and scheduling
techniques, earned value, tracking and
reporting project costs, risk management, best
practices, and cost/benefit analysis.
INFM 714 Principles of
Competitive Intelligence (3
credits)
Credit will be granted for only one of the
following: INFM714 orINFM718W.
Formerly INFM718W.
Intelligence process and how to build business
advantage by the collection and analysis of the
capabilities, vulnerabilities, market positioning
and strategic planning of competitors using
open source information.
INFM 718 Selected Topics in
Information Management (1-3
credits)
Repeatable to 09 credits if content differs.
Selected topics in information management.
INFM 719 Independent Study (1-
3 credits)
Prerequisite: permission of instructor.
Repeatable to 06 credits if content differs.
Intensive individual study under faculty
supervision.
INFM 720 Seminar in Strategic
Information Management (3
credits)
Prerequisite: INFM 600, INFM 603, and
INFM 605: or permission of instructor.
Issues, problems, and processes in the strategic
management of information and the
management of information programs in
institutional settings.
INFM 722 Copyright, Privacy,
and Security in Digital
Information (3 credits)
Prerequisite: INFM600 and INFM605; or
permission of instructor.
Managing copyright, privacy, and security
issues in the digital environment in terms of
legal standards, social expectations, and
technical requirements.
INFM 727 Professional
Opportunities for Information
Managers (3 credits)
Prerequisite: INFM 600, INFM 603, and
INFM 605: or permission of instructor.
Roles and responsibilities of information
managers, including information officers and
others in charge of programs, to plan,
coordinate, direct, or foster the systematic
461
creation of information systems and services
within an organization.
INFM 732 Information Audits
and Environmental Scans (3
credits)
Prerequisite: INFM600 and INFM605. Not
open to students who have completed
INFM730 and/or INFM731. Credit will be
granted for only one of the following:
INFM730, INFM731, orINFM732.
Techniques to assess the information needs of
an organization to meet its strategic objectives.
Methods of identifying information sources
and gaps and of scanning the internal and
external environment to identify changes that
affect the organization. Application of
information audits and environmental scans in
strategic information management.
INFM 736 Information
Management Team Experience
(3 credits)
Prerequisite: permission of instructor and
department. Corequisite: INFM 737.
Required in students's last semester.
Information issues within organizational
frameworks. Integrated, team-based,
experiential learning opportunity. This is a
group independent study.
INFM 737 Solving Problems in
Information Management (3
credits)
Corequisite: INFM 736. Required in
student's last semester.
In-depth problem analysis and resolution
derived from and contributing to INFM 736.
Independent study.
INFM 741 Social Computing
Technologies and Applications
(3 credits)
Prerequisite: INFM603 and INFM605 or
permission of instructor.
Tools and techniques for developing and
configuring social computing applications.
Theories and paradigms for social computing.
Strengths and limitations of different
application styles and types. Evolution of
applications as responses to social computing
challenges. Information and organizational
systems co-development.
INFM 743 Development of
Internet Applications (3 credits)
Prerequisite: INFM603 orLBSC690 or
equivalent.
Mark up languages and methods for
manipulating marked-up content. Techniques
for adding interactivity to web pages.
Installing and running servers. Server-side
applications. Application programming
interfaces for third-party content and tools.
Extension development.
Information Studies
(INST)
INST 612 Information Policy (3
credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: INST612 or LBSC625.
Formerly LBSC625.
Nature, structure, development and application
of information policy. Interactions of social
objectives, stakeholders, technology and other
forces that shape policy decisions.
INST 715 Knowledge
Management (3 credits)
Seven hours of lecture per week.
Prerequisite: permission of department.
Credit will be granted for only one of the
following: INST715 or LBSC715.
Formerly LBSC715.
Nature, creation, acquisition, and use of
knowledge. Strategic role of knowledge in
organizations and institutions. Information and
knowledge ecology. Structure and functions of
knowledge management systems and the role
of the Internet and intranets. Knowledge as
intellectual capital. Roles of librarians and
information professionals in the knowledge
economy. Strategic issues and future trends.
INST 733 Database Design (3
credits)
Prerequisite: LBSC690 and permission of
department. Credit will be granted for only
one of the following: INST733 or
LBSC793. Formerly LBSC793.
Principles of user-oriented database design.
Requirements analysis. Data modelling. Data
integrity and security and multi-user
databases. Implementing an information
system using a database management system
(DBMS).
INST 734 Information Retrieval
Systems (3 credits)
Prerequisites: MLS core curriculum; and
permission of department. Credit will be
granted for only one of the following:
INST734 or LBSC796. Formerly
LBSC796.
Principles of ogranizing and providing access
to information using automated information
storage and retrieval systems. Retrieval
systems models, index language selection, data
structure, user interfaces, and evaluation for
text and multimedia applications.
Israel Studies (ISRL)
ISRL 448 Seminar in Israel
Studies (3 credits)
Restricted to students completing the Israel
Studies Minor. Prerequisite:
JWST342/HIST376 and permission of
department. Recommended: ISRL249 and
ISRL349.
Intensive study of an Israel Studies topic.
Expected work product is a substantial
research or analysis paper or appropriate
equivalent.
ISRL 449 Advanced Topics in
Israel Studies (3 credits)
Recommended: ISRL249 orISRL349.
Repeatable to 6 credits if content differs.
Topics in the study of Zionism and
contemporary Israel from the 1880s to the
present at the advanced level. Individual
sections may address history, politics, or
culture. Some Sections may have language or
course prerequisites.
Italian (ITAL)
ITAL 401 Advanced
Composition and Style (3
credits)
Prerequisite: ITAL301 or equivalent.
Advanced writing practice in range of genres.
ITAL 406 Commercial Italian II (3
credits)
Prerequisite: ITAL306 or permission of
department.
Advanced study of commercial Italian
language - terminology and style- in the area
of business and finance. Emphasis on cross-
cultural communications and international
business operations, including exporting and
banking. Readings on sociological issues of
contemporary Italy used for written and oral
practice of Italian and vocabulary enrichment.
ITAL 411 Dante in Translation (3
credits)
Credit will be granted for only one of the
following: ITAL411 orITAL412.
Dante's thought as expressed in his major
writings: The Vita Nuova, De Monarchia and
The Divine Comedy. Taught in English.
ITAL 412 Dante in Italian (3
credits)
Credit will be granted for only one of the
following: ITAL41 1 or ITAL412.
Dante's thought as expressed in his major
writings: The Vita Nuova, De Monarchia and
The Divine Comedy. Taught in Italian.
ITAL 421 The Italian
Renaissance (3 credits)
Credit will be granted for only one of the
following: ITAL421 or ITAL422.
A study of major trends of thought in
Renaissance literature, art, and science. Taught
in English.
ITAL 422 The Italian
Renaissance in Italian (3
credits)
Credit will be granted for only one of the
following: ITAL421 or ITAL422.
A study of major trends of thought in
Renaissance literature, art, and science. Taught
in Italian.
ITAL 431 Italian Civilization in
Translation (3 credits)
Credit will be granted for only one of the
following: ITAL431 or ITAL432.
Political, social, intellectual, literary and
artistic forces shaping contemporary Italy from
the late Middle Ages to the present. Taught in
English.
ITAL 432 Italian Civilization in
Italian (3 credits)
Credit will be granted for only one of the
following: ITAL431 orITAL432.
Political, social, intellectual, literary and
artistic forces shaping contemporary Italy from
the late Middle Ages to the present. Taught in
Italian.
ITAL 469 Study Abroad Special
Topics IV (1-6 credits)
Repeatable to 15 credits if content differs.
Special topics course taken as part of an
approved study abroad program.
ITAL 471 Italian Cinema: A
Cultural Approach in
Translation (3 credits)
Credit will be granted for only one of the
following: ITAL471 or ITAL472. Formerly
ITAL475.
The culture of Italy through the medium of
film from the silent days up to the present.
Taught in English.
ITAL 472 Italian Cinema: A
Cultural Approach in Italian (3
credits)
Credit will be granted for only one of the
following: ITAL471 orITAL472.
462
The culture of Italy through the medium of
film from the silent days up to the present.
Taught in Italian.
ITAL 473 Italian Cinema II - In
Translation (3 credits)
Freshman standing. Repeatable to 3 credits
if content differs. Also offered as ITAL474.
Credit will be granted for only one of the
following: ITAL473 orITAL474. Formerly
ITAL499E.
A study of Italian society and culture through
the medium of film from the mid 1970's to the
present. Taught in English.
ITAL 474 Italian Cinema II - In
Italian (3 credits)
Three hours of lecture and one hour of
discussion/recitation per week. Also
offered as ITAL473. Credit will be granted
for only one of the following: ITAL473 or
ITAL474. Formerly ITAL499I.
A study of Italian society and culture through
the medium of film from the mid 1970's to the
present. Taught in Italian.
ITAL 475 The Italian Opera
Libretto in English (3 credits)
Prerequisite: One course in literature.
Credit will be granted for only one of the
following: ITAL475, or ITAL476.
History and analysis of Italian opera librettos
from Monteverdi through Mozart to Verdi and
Puccini. Taught in English.
ITAL 476 The Italian Opera
Libretto in Italian (3 credits)
Credit will be granted for only one of the
following: ITAL476 or ITAL475.
History and analysis of Italian opera librettos
from Monteverdi through Mozart to Verdi and
Puccini. Taught in Italian.
ITAL 478 Colloquium in Italian (1
credits)
Prerequisite: ITAL311 or equivalent.
Corequisite: ITAL41 1, ITAL421.
ITAL431, ITAL471, ITAL473, ITAL475,
ITAL498, or ITAL499. Repeatable to 6
credits.
Colloquium section taught in Italian to
accompany 400-level Italian courses taught in
English. Discussion, presentations, readings.
ITAL 497 Senior Project (3
credits)
Prerequisite: four courses at 400-level in
Italian; permission of department.
Individual independent study of an aspect of
Italian literature, culture or society selected
according to student interest and need in
consultation with a member of the Italian
program.
ITAL 498 Special Topics in
Italian Literature (3 credits)
Repeatable to 6 credits if content differs.
ITAL 499 Special Topics in
Italian Studies (3 credits)
Repeatable to 6 credits if content differs.
Japanese (JAPN)
JAPN 401 Readings in Modern
Japanese Literature (3 credits)
Prerequisite: a grade of C (2.0) or better in
JAPN302 or permission of instructor.
Development of advanced reading,
vocabulary, grammar, and translation skills
through selected readings in Japanese drawn
primarily from modern literature.
JAPN 402 Readings in Japanese
Cultural Studies (3 credits)
Prerequisite: Grade of C (2.0) or better in
J APN302 or permission of instructor.
Development of advanced reading,
vocabulary, grammar, and translation skills
through selected readings in Japanese drawn
from the fields of history, social sciences,
cultural studies, film studies, and popular
culture.
JAPN 403 Business Japanese:
Practicum in Communicative
Skills (3 credits)
Prerequisite: a grade of C (2.0) or better in
J APN302 or permission of instructor.
Development of conversation, reading, and
writing skills applicable to Japanese business
transactions, official situations, and social
meetings, with background material in English
on professional business practices and social
customs associated with business.
JAPN 404 Business Japanese:
Readings and Applications (3
credits)
Prerequisite: a grade of C (2.0) or better in
JAPN302 or permission of instructor.
Focus on current readings pertaining to the
Japanese corporate world from newspapers
and other periodicals as well as online sources,
and project-based development of oral and
written skills in business Japanese.
JAPN 405 Readings in
Advanced Modern Japanese (3
credits)
Prerequisite: JAPN402 or equivalent or
permission of department.
Designed to further improve reading and
translation skills; the course will include
readings from newspaper articles, literary
works, and academic publications in the social
sciences and humanities. Listening exercises
are included.
JAPN 406 Translating
Diplomatic Japanese (3 credits)
Prerequisite: a grade of C (2.0) or better in
JAPN401 or permission of instructor.
Formal, written, diplomatic Japanese to
develop practical translation skills and to learn
to use the computer as a telecommunications
and translation workstation.
JAPN 407 The Art of Translation
(3 credits)
Prerequisite: A grade of C (2.0) or better in
JAPN401 or equivalent.
Theory and practice of translation. Variety of
genres. Japanese to English.
JAPN 408 Special Topics in
Japanese (3 credits)
Prerequisite: A grade of C (2.0) or better in
JAPN302; or permission of instructor.
Topic in the Study of Japanese, to be
announced each time course is offered. Taught
in Japanese.
JAPN 411 Introduction to
Classical Japanese (3 credits)
Prerequisite: JAPN302 or equivalent.
Classical Japanese grammar and the varied
styles of classical Japanese. Readings in
classical texts drawn from the Heian,
Kamakura, Muromachi, and Edo periods.
JAPN 412 Classical Japanese (3
credits)
Prerequisite: JAPN41 1.
Continuation of JAPN 41 1 with more
advanced classical Japanese.
JAPN 414 Masterpieces of
Classical Japanese Literature in
Translation (3 credits)
Major classics, with focus on philosophical,
historical and cultural backgrounds.
JAPN 415 Modern Japanese
Fiction in Translation (3 credits)
Major themes and literary developments in
fiction from the late 19th century to the
present. Emphasis on the works of Kawabata,
Tanizaki, Mishima, and Abe.
JAPN 416 Japanese Women and
Women Writers (3 credits)
Fiction and poetry by Japanese women from
the Ninth Century to the present. Women's
early role in creating and shaping a variety of
literary genres, the silencing of women during
the age of the shoguns, and the reemergence of
a feminist tradition and women writers in the
Twentieth Century. In English.
JAPN 418 Japanese Literature in
Translation (3 credits)
Repeatable to 9 credits if content differs.
Representative works of Japanese literature in
translation.
JAPN 421 History of the
Japanese Language (3 credits)
Prerequisite: JAPN201 or permission of
department.
Investigation of the origin of the Japanese
language, its relationship with other languages,
and its development. Taught in English, but
presumes knowledge of Kanji (Chinese
characters).
JAPN 422 Introductory
Japanese Linguistics (3 credits)
An investigation of Japanese sound patterns
and syntax through a comparison with
English.
JAPN 428 Seminar in Japanese
Discourse and Conversation
Analysis (3 credits)
Prerequisite: JAPN302. Recommended:
JAPN422. Repeatable to 6 credits if content
differs.
Presentation and discussion of classic and
current readings in English and Japanese on
theories and actual practice of discourse and
conversation analysis. Students will learn
transcription techniques and have an
opportunity to apply them in a final term
paper.
JAPN 438 Topics in Japanese
Pragmatics (3 credits)
Prerequisite: JAPN201. Recommended:
JAPN422. Repeatable to 9 credits if content
differs. Also offered as JAPN638. Credit
will be granted for only one of the
following: JAPN438 or JAPN638.
Basic concepts in the field of pragmatics (the
study of language in context) such as deixis
and indexicality, speech acts, ellipsis, and
politeness. Readings in English on English and
Japanese examples.
JAPN 498 Special Topics in
Japanese Studies (3 credits)
Special topics in Japanese studies. Taught in
English.
JAPN 499 Directed Study in
Japanese (1-3 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits if content differs.
463
JAPN 606 Practicum in
Translation: Diplomatic
Japanese (3 credits)
Two hours of lecture and one hour of
discussion/recitation per week.
Prerequisite: JAPN 402 or equivalent; and
permission of instructor. Also offered as
JAPN 406. Credit will be granted for only
one of the following: JAPN 406 or JAPN
606.
Formal, written, diplomatic Japanese to
develop practical translation skills and to learn
to use the computer as a telecommunications
and translation workstation.
JAPN 608 Readings in
Advanced Modern Japanese (3
credits)
Two hours of lecture and one hour of
discussion/recitation per week.
Prerequisite: JAPN 402 or equivalent; or
permission of department. Repeatable to 06
credits if content differs .
To improve reading and translation skills;
readings from newspaper articles, literary
works, and academic publications in the social
sciences and humanities. Listening exercises
are included.
JAPN 612 Introduction to
Classical Japanese (3 credits)
Two hours of lecture and one hour of
discussion/recitation per week.
Prerequisite: JAPN 302 or permission of
instructor.
Introduction to classical Japanese grammar
through reading, translation and discussion of
a variety of genres and writing styles used
from the 9th century to early modern times.
JAPN 619 Topics in Modern
Japanese Literature in
Translation (3 credits)
Prerequisite: permission of department.
Repeatable to 09 credits if content differs.
Current topics in modern Japanese literature in
English translation.
JAPN 621 Japanese Historical
Linguistics (3 credits)
An introduction to the history and pre-history
of the Japanese language. Reviews the textual
record of Japanese and presents modern and
historical evidence for reconstructing earlier
forms of the Japanese language. Questions of
genetic affiliation will also be examined.
JAPN 628 Seminar in Japanese
Discourse and Conversation
Analysis (3 credits)
Prerequisite: JAPN 302. Recommended:
JAPN 422. Repeatable to 6 credits if
content differs.
Presentation and discussion of classic and
current readings in English and Japanese on
theories and actual practice of discourse and
conversation analysis. Students will learn
transcription techniques and have an
opportunity to apply them in a final term
paper.
JAPN 638 Topics in Japanese
Pragmatics (3 credits)
Prerequisite: JAPN201. Repeatable to 09
credits if content differs.
Basic concepts in the field of pragmatics.
JAPN 679 Special Topics in
Japanese Linguistics (3 credits)
Two hours of lecture and one hour of
discussion/recitation per week.
Prerequisite: permission of department.
Repeatable to 09 credits if content differs.
Current topics in research in Japanese
linguistics.
Journalism (JOUR)
JOUR 400 Media Law (3 credits)
Prerequisite: JOUR320 or JOUR360 or
JOUR501. Junior standing.
Legal rights and constraints of mass media;
libel, privacy, copyright, monopoly, contempt,
and other aspects of the law applied to mass
communication. Previous study of the law not
required.
JOUR 420 Media Coverage of
Government and Politics (3
credits)
Junior standing.
Relationship between news media and
government and politics; governmental and
political information and persuasion
techniques.
JOUR 430 Comparative Mass
Communication Systems (3
credits)
Junior standing.
Comparative analysis of the role of the press
in different societies.
JOUR 434 Salzburg Seminar:
Global Media Literacy (3
credits)
Credit will be granted for only one of the
following: JOUR434 or JOUR734.
An advanced analysis of the information,
values underlying messages conveyed via
television, newspapers, the Internet,
magazines, radio and film from a cross-
cultural perspective. Examines the accuracy of
messages and explores how distinctive global
media shape views of politics culture and
society with nations, across regions and
internationally.
JOUR 435 Salzburg Seminar:
Global Change, Global
Cooperation (3 credits)
Practical and theoretical examination of a
global problem (or problems) of contemporary
importance from a cross-cultural, perspective.
Analytical framework used to examine how
media shape global problems, events and/or
issues regionally.
JOUR 440 Media Economics (3
credits)
Junior standing.
Examination of the economics of the news
media.
JOUR 450 Mass Media in
Society (3 credits)
Junior standing.
Ethical, moral, political, economic, and social
consideration of mass communication.
JOUR 451 Advertising and
Society (3 credits)
Junior standing.
Advertising as an institution with manifest
economic purposes and latent social effects.
Influences of advertising on people, and
related issues of ethics and social
responsibility.
JOUR 452 Women in the Media
(3 credits)
Junior standing. Also offered as
WMST452. Credit will be granted for only
one of the following: JOUR452 or
WMST452.
Participation and portrayal of women in the
mass media from colonial to contemporary
times.
JOUR 453 News Coverage of
Racial Issues (3 credits)
Junior standing.
Analysis of news media coverage of issues
relating to racial minorities in the United
States, with special attention to Hispanics,
Asian Americans, African Americans and
Native Americans.
JOUR 458 Special Topics in
Journalism (3 credits)
Repeatable to 6 credits if content differs.
Issues of special concerns and current interest.
JOUR 459 Special Topics in
Journalism (1-3 credits)
Repeatable to 6 credits if content differs.
Issues of special concern and current interest.
Open to all students.
JOUR 462 Professional Seminar
in Public Affairs Reporting (3
credits)
Prerequisite: permission of department.
Explore theoretical and practical issues in the
press coverage of governments. Examine the
complex press -government relationship.
JOUR 463 Newsroom
Management (3 credits)
Prerequisite: JOUR320 or JOUR360; or
permission of department. Credit will be
granted for only one of the following:
JOUR375, JOUR461, or JOUR463.
Formerly JOUR375.
Organization, operation, and administration of
the departments of a newsroom: advertising,
business-finance, circulation, news-editorial,
personnel, production, and promotion.
JOUR 464 Readings in
Journalism Literature (3
credits)
Credit will be granted for only one of the
following: JOUR376 or JOUR464.
Formerly JOUR376.
Analysis of books by journalists highly
regarded for writing style and/or the content of
their reporting, with an emphasis on
understanding the books in the context of
national and international affairs.
JOUR 465 Visual Literacy (3
credits)
Prerequisite: JOUR201. Junior standing.
Practical and theoretical examination of visual
communication processes related to
photography, layout and design, video and
Web information products.
JOUR 466 Survey of Broadcast
and Electronic News Media (3
credits)
Prerequisite: JOUR201. Credit will be
granted for only one of the following:
JOUR365 or JOUR466. Formerly
JOUR365.
Descriptive and critical analysis of broadcast
news practices, regulation and history;
evaluation of news judgments; decision-
making and organizational aspects of the
broadcast news industry.
JOUR 467 Technology and the
Media (3 credits)
Two hours of lecture and two hours of
464
laboratory per week. Prerequisite:
JOUR320 or JOUR360. Recommended:
JOUR352.
Exploration of the role of information
technology in social change.
JOUR 470 Journalism and
Public Communication
Research (3 credits)
Prerequisite: A university statistics course.
Students are encouraged to have completed
the theory and skills courses in their major
sequence. Credit will be granted for only
one of the following: JOUR470 or
JOUR477. Formerly JOUR477.
Journalism and public communication
research methods used in measuring public
opinion and media programs and materials.
JOUR 471 Public Opinion
Research (3 credits)
Prerequisite: a University statistics course.
Measurement of public opinion and media
habits; role of the media in the formation of
public opinion.
JOUR 472 Computer-Assisted
Reporting (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
JOUR320 or JOUR360. Not open to
students who have completed JOUR328.
Credit will be granted for only one of the
following: JOUR328 or JOUR472.
Formerly JOUR328.
Computer and online data acquisition;
analytical methods for writing and reporting
news.
JOUR 479 Special Topics in
Data Gathering and Analysis (1-
3 credits)
Prerequisite: JOUR320 and JOUR360.
Repeatable to 3 credits.
Special research topics for reporting and
writing.
JOUR 494 Yearbook Short
Course (1 credits)
Prerequisite: JOUR201 or permission of
department. Credit not applicable toward
major in journalism.
Intensive course dealing with the theme,
content, copy, design, advertising, budget,
finance, law and ethics of yearbook
development and production.
JOUR 498 Topics in Scholastic
Journalism (1-3 credits)
Repeatable to 99 credits if content differs.
Seminars on specialized areas on the practice
of scholastic journalism.
JOUR 501 Fundamentals of
Writing and Editing (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
permission of department.
Principles of news and feature writing. For
graduate students with limited prior training or
experience in journalism.
JOUR 502 Reporting for
Graduate Students (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite: JOUR
501 or permission of department.
Intensive training in basic public affairs
journalism for graduate students with limited
training or experience. Not applicable for
degree credit.
JOUR 503 Reporting for
Broadcast News (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite: JOUR
501. Also offered as JOUR 262.
Training in gathering and producing news for
radio and television newcasts.
JOUR 600 Journalism Ethics (3
credits)
Prerequisite: permission of department.
Examination of ethical problems in journalism
and the media industry.
JOUR 601 Theories of
Journalism and Public
Communication (3 credits)
Prerequisite: permission of department.
Survey and evaluation of current
communication theories. Attention is given to
the nature and function of scientific theory,
models of communication behavior, the nature
of information, social functions of journalism
and public communication, attitude change
and persuasive communication and theories of
language and meaning.
JOUR 610 Seminar in Mass
Media History (3 credits)
Credit will be granted for only one of the
following: JOUR610 or JOUR710.
Formerly JOUR7 10.
Analysis and discussion of the
interrelationships between the mass media and
society, including various social and cultural
elements of modern society; responsibilities of
the mass media and the mass communicator.
JOUR 620 Seminar in Public
Affairs Reporting (3 credits)
Prerequisite: JOUR 502 or equivalent.
JOUR 624 Commentary and
Editorial Writing (3 credits)
Credit will be granted for only one of the
following: JOUR 624 or JOUR 628N.
Formerly JOUR628N.
Journalistic interpretation and analysis;
commentary and editorial writing.
JOUR 625 Advanced Capital
News Service Bureau (6 credits)
1 8 hours of laboratory per week.
Prerequisite: JOUR620 and permission of
department. Credit will be granted for only
one of the following: JOUR625 or
JOUR729. Formerly JOUR729.
Advanced journalism training. Students report
as part of College's Capital News Service
program.
JOUR 627 Advanced Urban
Affairs Reporting (3 credits)
Prerequisite: JOUR501 and permission of
department.
Studens will use Baltimore as a working
laboratory to report on urban issues.
JOUR 628 Specialized Topics in
News Writing and Reporting (1-3
credits)
Prerequisite: JOUR 620 or JOUR 660. Not
open to students who have completed
JOUR 728. Credit will be granted for only
one of the following: JOUR 628 or JOUR
728. Formerly JOUR728.
Advanced training and practice in writing and
reporting news. Repeatable to a maximum of
six credits provided the content differs.
JOUR 640 Seminar in
Advertising Communication (3
credits)
Credit will be granted for only one of the
following: JOUR 640 and JOUR 740.
Formerly JOUR740.
Role of advertising as a form of public
communication in American society.
Advertising and the firm; advertising and the
economy; advertising and the individual;
advertising and consumerism; advertising and
the media.
JOUR 652 Online Journalism (3
credits)
Prerequisites: JOUR 502, JOUR 503, or
equivalent. For JOUR majors only.
Editing and writing online, using basic Web-
coding skills and tools to create news and
feature packages for the Internet. New-media
issues, including interactivity and
individualization, are also to be discussed.
JOUR 654 Advanced Interactive
Multimedia Storytelling (3
credits)
Prerequisite: JOUR652 and permission of
department.
Learning and applying Flash and/or other
interactive tools to assemble multiple media
(i.e. graphics, video, etc.) to create interactive
narratives.
JOUR 655 Online News Bureau
(6 credits)
Prerequisite: JOUR 652 ( or equivalent )
and permission of department.
Advanced online journalism training. Students
work as online reporters, editors and producers
for an online news magazine. Students also
package copy from the print and broadcast
news bureaus.
JOUR 660 Seminar in Broadcast
News (3 credits)
Credit will be granted for only one of the
following: JOUR 660 or JOUR 760.
Formerly JOUR760.
Descriptive and critical analysis of broadcast
news; methods of evaluation of news
judgments; decision-making and
organizational aspects of the broadcast news
industry.
JOUR 661 Television Reporting
and Production (3 credits)
Prerequisite: JOUR 503 or equivalent. For
JOUR majors only.
Reporting, writing, editing and production of
broadcast news.
JOUR 663 Long Form Broadcast
Journalism (3 credits)
Prerequisite: JOUR503 or equivalent. Also
offered as JOUR363. Credit will be granted
for only one of the following: JOUR363,
JOUR486 or JOUR663. Formerly
JOUR486.
Productions of long form broadcast news
reporting, reality videos or documentaries.
JOUR 667 Broadcast News
Bureau (6 credits)
Prerequisites: JOUR 503 (or equvialent)
and permission of department.
Advanced broadcast journalism training.
Students report as part of the College's Captial
News Service program.
JOUR 668 Topics in
Broadcasting and Electronic
465
Media (1-3 credits)
Prerequisite: JOUR 760. Repeatable to 6
credits if content differs. Not open to
students who have completed JOUR 768.
Credit will be granted for only one of the
following: JOUR 668 or JOUR 768.
Formerly JOUR768.
Advance research and analysis of selected
topics in broadcast journalism.
JOUR 672 Writing the Complex
Story (3 credits)
Prerequisite: JOUR 502 or equivalent, and
permission of department. Credit will be
granted for only one of the following:
JOUR 662 or JOUR 672. Formerly
JOUR622.
Advanced writing, focusing on the strategies
and techniques of modern explanatory
journalism.
JOUR 677 Literary Journalism (3
credits)
Prerequisite: JOUR620 or permission of
department. Not open to students who have
completed JOUR377 or JOUR487. Credit
will be granted for only one of the
following: JOUR377, JOUR487 or
JOUR677.
Practice in the use of literary techniques and
especially of dramatic structure in modern
newspaper series, magazine pieces and books.
Analysis, researching and writing of
nonfiction stories, usually with a focus on a
specialized area chosen by the student.
JOUR 680 Science
Communication (3 credits)
Advanced professional training in science
reporting and writing for the mass media and
in technical communication to specialized
audiences. Communication behaviors of
scientists and audiences. Application of
communication theory and the history and
philosophy of science to science writing.
JOUR 689 News Coverage of
Specialized Topics (1-3 credits)
Prerequisite: JOUR 620 or JOUR 660.
Repeatable to 6 credits if content differs.
Advance training and practice in writing and
reporting news in on specialized field of
interest.
JOUR 698 Special Problems in
Communication (1-3 credits)
Repeatable to 6 credits.
Independent study in area of the student's
interest.
JOUR 700 Seminar in Media Law
(3 credits)
Three hours of lecture per week.
Prerequisite: Admission to journalism
graduate program or permission of
department. Credit will be granted for only
one of the following: JOUR400JOUR700
or JOUR755. Formerly JOUR755.
Legal rights and constraints of mass media;
libel, privacy, copyright, monopoly, and
contempt, and other aspects of the law applied
to mass communication.
JOUR 722 Mediacentric Politics
(3 credits)
Prerequisite: JOUR 601.
Examination of the growing use of the media
image and issues in electorial politics and
interest-group advocacy.
JOUR 725 Political
Communication (3 credits)
Prerequisite: JOUR 601 or JOUR 801 .
Critical examination of the interplay between
the media, government and the political
process.
JOUR 729 Reporting from
Annapolis and Washington (6
credits)
18 hours of laboratory per week.
Repeatable to 12 credits if content differs.
Advanced training in public affairs journalism.
Students report state and federal news as part
of College's Capital News Service.
JOUR 730 Seminar in
Comparative Mass
Communication (3 credits)
JOUR 731 Cross-Cultural
Communication (3 credits)
JOUR 734 Salzburg Seminar:
Global Media Literacy (3
credits)
Credit will be granted for only one of the
following: JOUR434 or JOUR734.
An advanced analysis of the information,
values and underlying messages conveyed via
television, newspapers, the Internet,
magazines, radio and film from a cross-
cultural perspective. Examines the accuracy of
messages and explores how distinctive global
media shape view of politics, culture and
society within nations, across regions and
internationally.
JOUR 735 Salzburg Seminar:
Global Change, Global
Cooperation (3 credits)
Credit will be granted for only one of the
following: JOUR435 or JOUR735.
Practical and theoretical examination of a
global problem (or problems) of contemporary
importance from a cross-cultural, perspective.
Analytical framework used to examine how
media shape global problems, events and/or
issues regionally.
JOUR 738 Topics in
International and Cross-Cultural
Communication (3 credits)
Repeatable to 6 credits if content differs.
Specialized topics in the fields of comparative
journalism and mass communications and in
the field of cross-cultural communication.
JOUR 740 Seminar in Media
Economics (3 credits)
Examination of the economic factors of
various news media.
JOUR 762 Professional Seminar
in Public Affairs Reporting (3
credits)
Prerequisite: JOUR 620 and permission of
department. Not open to students who have
completed JOUR 462.
Examination of theoretical and practical issues
in the press coverage of government and
public affairs.
JOUR 763 Seminar in Newsroom
Management (3 credits)
Credit will be granted for only one of the
following: JOUR 481, JOUR 675 or JOUR
763. Formerly JOUR675.
Organization, operation, and administration of
the departments of a newsroom: advertising,
business-finance, circulation, news-editorial,
personnel, production and promotion.
JOUR 767 New Media
Technologies (3 credits)
Selected survey of theories of technology and
communication with special attention to issues
concerning the use of computer technology as
a communication medium.
JOUR 770 Principles of
Research Methods in
Journalism (3 credits)
Credit will be granted for only one of the
following: JOUR 600 or JOUR 770.
Formerly JOUR600.
Introduction to the methods of empirical
research; the scientific method, elements of
experimental design and survey techniques,
content analysis, readership and readability
studies, audience measurement and analysis of
quantitative data
JOUR 772 Methods in
Computer-Assisted Reporting (3
credits)
Two hours of lecture and two hours of
laboratory per week. Pre- or corequisite:
JOUR501 or equivalent.
Computer-assisted (database) journalism;
obtaining, manipulating and analyzing
complex government data for journalism
projects.
JOUR 775 Quantitative Methods
in Journalism and Public
Communication Research (3
credits)
Not open to students who have completed
JOUR 701. Credit will be granted for only
one of the following: JOUR 701 or JOUR
775. Formerly JOUR701.
Logic and methods of quantitative data
collection and statistical analysis as applied to
journalism and pulbic communication studies.
JOUR 776 Qualitative Research
Methods in Journalism and
Public Communication (3
credits)
Not open to students who have completed
JOUR 71 1. Credit will be granted for only
one of the following: JOUR 71 1 or JOUR
776. Formerly JOUR711.
Methods of historical, critical and field
research in journalism and public
communication. Formulation of significant
research questions, systematic collection of
bibliographic and phenomenal information,
formulating substanial claims, organizing and
writing research for disciplinary outlets.
JOUR 777 Advanced
Historical/Critical Methods in
Journalism and Public
Communication (3 credits)
Not open to students who have completed
JOUR 712. Credit will be granted for only
one of the following: JOUR 712 or JOUR
777. Formerly JOUR712.
Critical assessment of qualitative approaches
to public communication. Introduction to
significant schools of historical and critical
research. Advanced techniques for inquiry and
manuscript preparation. Students must have a
dissertation research project requiring
historical or critical theory.
JOUR 779 Seminar in Research
Problems (1-3 credits)
466
Repeatable to 6 credits if content differs.
Not open to students who have completed
JOUR 780. Credit will be granted for only
one of the following: JOUR 779 or JOUR
780. Formerly JOUR780.
Methods of research design and analysis in
specialized areas of journalism and public
communication research.
JOUR 798 Master's Professional
Fieldwork (2-6 credits)
Repeatable to 6 credits.
Research for and preparation of news articles
or programs for use in the media. Analysis of
fieldwork experience using communication
theory and research results. Fieldwork may be
done independently or as an internship.
Repeatable to a maximum of six credits.
JOUR 799 Master's Thesis
Research (1-6 credits)
JOUR 800 Introduction to
Doctoral Study in Journalism
and Public Communication (3
credits)
Credit will be granted for only one of the
following: JOUR 700 or JOUR 800.
Formerly JOUR700.
Basic skills in journalism and public
communication research.
JOUR 801 Advanced Public
Communication Theory (3
credits)
Prerequisite: JOUR 601 or equivalent.
Credit will be granted for only one of the
following: JOUR 601 or JOUR 801.
Advanced selected survey of communication
& media theory.
JOUR 802 Advanced Analysis of
Journalism Practices (3 credits)
Prerequisite: JOUR 800.
Advanced literature survey and critique of the
practices of journalism.
JOUR 808 Doctoral Colloquium
(1-3 credits)
Two hours of discussion/recitation per
week. Pre- or corequisite: JOUR 800.
Repeatable to 04 credits if content differs.
Guided discussion of professional and
theoretical topics.
JOUR 818 Seminar in
Communication Theories and
Journalism Practice (3 credits)
Pre- or corequisite: JOUR 800. Repeatable
to 06 credits if content differs.
Critical examination of existing theory and/or
journalism practices suggesting hypotheses
and formulating proposals for future research.
JOUR 888 Doctoral Professional
Field Work (1-9 credits)
Repeatable to 9 credits if content differs.
Formerly PCOM888.
Critical analysis of a phase of a professional
field in journalism and public communication.
Analysis of professional activity through
personal observation. Evaluation of the
purpose, process, effectiveness, and efficiency
of professional activity. Recommendations for
training and further research.
JOUR 889 Doctoral Tutorial in
Journalism and Public
Communication (1-9 credits)
Repeatable to 09 credits if content differs.
Formerly PCOM889.
Individual research in journalism and public
communication.
JOUR 898 Pre-Candidacy
Research (1-8 credits)
JOUR 899 Doctoral Dissertation
Research in Journalism and
Mass Communication (1-8
credits)
Formerly PCOM899.
Jewish Studies
(JWST)
JWST 408 Honors Seminar in
Jewish Studies (3 credits)
Prerequisite: permission of department.
Junior standing.
An in-depth exploration of a theme in Jewish
history, literature, culture or thought. Course
subject and readings will vary from year to
year, but will generally cut across periods,
locations, or disciplines. Students are expected
to engage the course material critically and to
use the seminar as an opportunity to develop
an independent research agenda.
JWST 409 Research Seminar in
Jewish Studies (3-4 credits)
Prerequisite: two upper-level courses in an
appropriate area of Jewish Studies or
permission of department. Repeatable to 9
credits if content differs . Formerly
JWST309.
A capstone course for Jewish Studies. Guides
students through advanced source material and
subject matter, research skills, and
presentation techniques. A substantive paper
based on independent research and analysis is
one expected outcome.
JWST 419 Special Topics in
Jewish Studies (3 credits)
Repeatable to 9 credits if content differs.
JWST 429 Advanced Topics in
Jewish Studies (3-4 credits)
Repeatable to 12 credits if content differs.
Special topics at an advanced level for Jewish
Studies. Primarily intended for majors and
graduate students.
JWST 451 Issues in Jewish
Ethics and Law (3 credits)
Prerequisite: three credits in philosophy or
Jewish studies (excluding Hebrew
language), or permission of department.
Also offered as PHIL433. Not open to
students who have completed PHIL433 or
HEBR45 1 . Credit will be granted for only
one of the following: HEBR451, JWST451,
or PHIL433. Formerly HEBR451.
Philosophical and meta-legal questions
concerning the nature of Jewish law and its
relation to morality.
JWST 452 The Golden Age of
Jewish Philosophy (3 credits)
Prerequisite: three credits in philosophy or
permission of department. Also offered as
PHIL417. Not open to students who have
completed PHIL417. Credit will be granted
for only one of the following: JWST452 or
PHIL417.
Jewish philosophy from Maimonides in the
12th Century to the expulsion of the Jews from
Spain at the end of the 15th Century. Topics
include the limitations of human knowledge,
creation of the world, foreknowledge and free
will, and the existence of God.
JWST 453 Philosophy of
Spinoza (3 credits)
Prerequisite: six credits in philosophy or
permission of department. Also offered as
PHIL424. Not open to students who have
completed PHIL424. Credit will be granted
for only one of the following: JWST453 or
PHIL424.
An investigation of the metaphysical, ethical,
and political thought of the 17th century
philosopher Benedict Spinoza.
JWST 459 Readings in Medieval
Hebrew (3-4 credits)
Prerequisite: HEBR313 or permission of
instructor. Repeatable to 9 credits if content
differs. Not open to students who have
completed JWST466. Credit will be
granted for only one of the following:
JWST459_ or JWST466. Formerly
JWST466.
Readings and analysis of Hebrew texts and
literature from the Middle Ages. Language of
instruction in English; all texts in Hebrew.
JWST 468 Readings in the
Hebrew Bible (3-4 credits)
Prerequisite: HEBR313 or permission of
instructor. Formerly HEBR441 and
HEBR442. Repeatable to 9 credits if
content differs.
Readings in the Hebrew text of the Bible.
Emphasis in close reading, grammar analysis,
and modern interpretations of the Bible.
Language of instruction English; all texts in
Hebrew.
JWST 469 Readings in Rabbinic
Hebrew (3-4 credits)
Prerequisite: HEBR313 or permission of
instructor. Repeatable to 9 credits if content
differs.
Readings in classical rabbinic texts and related
corpora. Emphasis on grammar and reading
skills as well as critical analysis of the
material. Language of instruction: English; all
texts in original language.
JWST 471 Modern Hebrew
Literature in Translation (3
credits)
An exploration of modern Hebrew prose,
poetry, and literary essays written from the
1880s through the present in Europe,
Palestine, and Israel. An investigation of the
challenges confronting authors such as
Mendele Mokher Sforim, Avraham Mapu,
Chaim Nahman Bialik, Dvorah Baron, S.Y.
Agnon, and David Fogel as they tried to create
a contemporary secular literature out of an
ancient sacred language. All texts in English
translation.
JWST 478 Readings in Modern
Hebrew (3 credits)
Prerequisite: HEBR313 or permission of
instructor. Junior standing. Repeatable to
12 credits if content differs.
Variable topics in Modern Hebrew Literature.
JWST 491 Judaism and the
Construction of Gender (3
credits)
Also offered as WMST491. Credit will be
granted for only one of the following:
JWST419X, JWST491 or WMST491.
Formerly JWST419X.
The study of Jewish culture, religious practice,
communal authority, and literature through the
frame of such critical categories of analysis as
467
gender, sexuality, masculinity, power, ethics,
and the feminine.
JWST 498 Advanced Language
Module for Jewish Studies (1-3
credits)
Prerequisite: HEBR212, JWST282, or
permission of department.
A supple me ntary language module for
students enrolled in designated Jewish Studies
classes. Language of instruction English, texts
in original language.
JWST 499 Independent Study in
Jewish Studies (1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
JWST 600 General Seminar in
Jewish Studies (3 credits)
Introduce graduate students to the fields,
problems, and basic methods of research in the
comtemporary practice of Jewish Studies.
Consideration of chronological and
historiographical problems, questions of the
development of Jewish thought and literature
and Jewish religious and cultural history in
four rough chronological periods: Biblical
Israel, Judaism in Antiquity, Judaism in the
Middle Ages and Early Modern Period, and
Modern Judaism.
JWST 609 Supervised
Instruction-Practicum in Jewish
Studies (1 credits)
Prerequisite: permission of department.
Supervised instruction or supervised practicum
in Jewish Studies. Intended for graduate
students whose course work includes field
work or classroom teaching.
JWST 619 Directed Readings in
Jewish Studies (3 credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
Independent Study in Jewish Studies.
Readings and papers.
JWST 648 Readings in Jewish
History (3 credits)
Repeatable to 09 credits if content differs.
Focus on the central issues in Jewish history as
well as the key historiographical debates on
those issues.
JWST 658 Readings in Jewish
Thought and Culture (3 credits)
Repeatable to 09 credits if content differs.
Examines key issues in the development of
Jewish thought and culture.
JWST 678 Readings in Jewish
Literature (3 credits)
Repeatable to 09 credits if content differs.
Examines selected themes or literatures in the
development of Jewish literary traditions.
JWST 699 Independent
Graduate Readings in Jewish
Studies (1-3 credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
Independent readings or guided research in a
field of Jewish Studies with a member of the
Jewish Studies graduate faculty.
JWST 719 Readings in Jewish
Studies (3 credits)
Repeatable to any number of credits if
content differs.
Course exposes students to significant primary
and secondary material on selected topics as
well as the major methodological problems
covered by professional scholars working on
these topics.
JWST 799 Masters Thesis
Research (1-6 credits)
Repeatable to 6 credits.
Research and Writing the Masters Thesis in
Jewish Studies.
Kinesiology (KNES)
KNES 402 Biomechanics of
Sport (3 credits)
Prerequisite: KNES300.
Mechanical determinants influencing sport
techniques. A quantitative, scientific basis for
sport analysis with emphasis on the
application to numerous sport activities.
Evaluation and quantification of the filmed
performance of athletes.
KNES 440 Psychology of
Athletic Performance (3 credits)
Prerequisite: KNES350. Junior standing.
Credit will be granted for only one of the
following: KNES498P, KNES689Z, or
KNES440. Formerly KNES498P.
Examines the psychological factors,
mechanisms, and processes in athletic
performance. Utilizes a social psychological
approach to focus on the study and review of
individual performance in both the
interpersonal and social context.
KNES 442 Psychology of
Exercise and Health (3 credits)
Prerequisite: KNES350. Junior standing.
Credit will be granted for only one of the
following: KNES442 orKNES4980.
Formerly KNES4980.
Examines the antecedents and consequences of
exerciese behavior. Explores motivation,
attitude, control, socialization. Proposes
intervention strategies at the individual,
organizational and societal levels.
KNES 451 Children and Sport: A
Psychosocial Perspective (3
credits)
Prerequisite: KNES350 and junior
standing.
Examination of youth sports from a
psychosocial perspective, including the impact
of highly structured sports on young athletes
and the complex social network of coaches,
parents and peers.
KNES 452 Martial Arts (Wu Shu)
in Contemporary China (3
credits)
Prerequisite: Completion of CORE Human
Diversity Course. Senior standing. Credit
will be granted for only one of the
following: KNES452 or KNES642.
The roots and influences of martial arts in
traditional and contemporary China.
KNES 455 Scientific Bases of
Athletic Conditioning (3 credits)
Prerequisite: KNES360.
An examination of physical fitness/athletic
conditioning programs stressing the practical
application of exercise physiology theory for
enhancing athletic performance.
Cardiovascular considerations, strength and
power development, nutrition, speed, muscular
endurance, environmental considerations and
ergogenic aids.
KNES 457 Managing Youth
Programs: Educational, Fitness
and Sport (3 credits)
Prerequisite: KNES287 and KNES370.
Junior standing. Credit will be granted for
only one of the following: KNES457 or
KNES498Y. Formerly KNES498Y.
An examination of the basic functions
involved in managing physical education,
fitness, and youth sports programs. Focus on
leadership skills, organizational management,
and techniques for applying learned skills in a
variety of organizational settings that serve the
nation's youth.
KNES 461 Exercise and Body
Composition (3 credits)
Prerequisite: KNES360.
An in-depth overview on how body
composition is measured, what it is composed
of, and the physiological and biochemical
signals that change it. The effects of acute and
chronic exercise on food storage, breakdown,
and use as an energy source, is the major
focus. This information is applied to important
issues in public health and athletic
performance.
KNES 462 Neural Basis of
Human Movement (3 credits)
Prerequisites: BSCI201; BSCI202; and
KNES385; or permission of department.
An introduction to the neural substrates which
underlie postural and volitional movement.
Neuroanatomical and neurophysiological basis
of motor functioning; past and present
conceptualizations of motor control and
coordination; movement disorders; and
maturation of the neuromuscular system.
KNES 463 Principles and
Methods of Physical Activity
Interventions (3 credits)
Prerequisite: KNES350 and KNES360.
Credit will be granted for only one of the
following: KNES463 orKNES498G.
Formerly KNES489G.
Understanding of the planning,
implementation, and evaluation of physical
activity interventions. Intervention methods
and practical strategies fo formulate well-
conceived physical activity interventions
across a variety of settings and participant
populations.
KNES 464 Exercise Metabolism:
Role in Health and Disease (3
credits)
Prerequisite: BSCI201; BSCI202; and
KNES360. Recommended: BCHM261.
Credit will be granted for only one of the
following: KNES464 or KNES498L.
Formerly KNES498L.
Examines the role of metabolism in
kinesiology, especially as it relates to physical
inactivity, health and disease. Includes
bioenergetics, substrate utilization, cell
signaling, and metabolic gene expression and
their impact on chronic health conditions or
disease.
KNES 465 Physical Activity and
Disease Prevention and
Treatment (3 credits)
Prerequisite: KNES360. Credit will be
granted for only one of the following:
KNES465 or KNES498A. Formerly
KNES498A.
Critically examines the scientific evidence that
supports the use of physical activity to prevent
and treat age-related diseases, including
cardio vascular disease, diabetes, abnormal
468
lipoprotein-lipid levels, hypertension, obesity,
osteoporosis and cancer.
KNES 466 Graded Exercise
Testing (3 credits)
Two hours of lecture and three hours of
laboratory per week. Prerequisite:
KNES360 or permission of department.
Functional and diagnostic examination of the
cardiovascular responses to graded exercise
testing. Emphasis on electrophysiology,
mechanisms of arrhythmias, normal electrical
activation of the heart, axis termination and
the normal 12-lead electrocardiogram.
KNES 467 Genetics in Physical
Activity and Sport (3 credits)
Prerequisite: KNES360. Corequisite:
STAT 100 or equivalent. Junior standing.
Credit will be granted for only one of the
following: KNES467 or KNES498Q.
Formerly KNES498Q.
Dedicated to understanding the role of
genetics in kinesiology, especially within the
contexts of physical activity and sport.
Specific genes and phenotypes will be
explored.
KNES 476 Honors Thesis
Proposal (3 credits)
Restricted to KNES Honors students only.
Corequisite: KNES478. Senior standing.
Credit will be granted for only one of the
following: KNES476 or KNES498R.
Formerly KNES498R.
Development of honors thesis proposal based
on preliminary research and literature review.
Presentation of formal proposal to the thesis
committee and fellow honors students.
KNES 477 Honors Thesis (3
credits)
Restricted to KNES Honors students only.
Prerequisite: KNES476. Corequisite:
KNES478. Senior standing. Credit will be
granted for only one of the following:
KNES399 or KNES477. Formerly
KNES399.
Advisement will be on the individual basis.
Thesis must be defended in the honors
seminar.
KNES 478 Honors Seminar (1-3
credits)
Restricted to KNES Honors students only.
Junior standing. Repeatable to 4 credits if
content differs. Credit will be granted for
only one of the following: KNES398 or
KNES478. Formerly KNES398.
Guided discussion of research topics of current
interest.
KNES 480 Measurement in
Physical Education (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
MATH110.
A study of the principles and techniques of
educational measurement as applied to the
teaching of physical education; study of the
functions and techniques of measurement in
the evaluation of student progress toward the
objectives of physical education and in the
evaluation of the effectiveness of teaching.
KNES 481 Biophysical Aspects
of Human Movement (3 credits)
Prerequisites: KNES300, KNES360,
KNES370, and KNES385.
Scientific principles and research techniques in
the investigation of the biophysical basis of
human movement.
KNES 482 Socio-behavioral
Aspects of Human Movement (3
credits)
Prerequisites: KNES287, KNES293, and
KNES350.
Derivation, formulation, and application of
research in the socio-behavioral aspects of
human movement.
KNES 483 Sport Marketing and
Media (3 credits)
Prerequisite: KNES287. Junior standing.
Not open to students who have completed
KNES498L prior to the Fall 2001
semester.
Industry practices in sport marketing and
media. Marketing strategies and consumer
behavior in different spoil contexts. Critical
examination of selected social and economic
issues related to the buying and selling of
sport.
KNES 484 Sporting Hollywood
(3 credits)
Prerequisite: KNES287 and KNES293.
Junior standing. Credit will be granted for
only one of the following: KNES484 and
KNES498N. Formerly KNES498N.
Popular representations of sport within the
film media related to wider social discourses
on bodies and the politics of various categories
of subjectivity (gender, sexual, racial, class
and national).
KNES 485 Sport and
Globalization (3 credits)
Two hours of lecture and two hours of
discussion/recitation per week.
Prerequisite: KNES287. Junior standing.
Credit will be granted for only one of the
following: KNES485 and KNES498T.
Formerly KNES498T.
Examination of sport culture from a global
perspective; focuses on theorizing the
similarities and differences between various
national sporting cultures.
KNES 487 Women, Sports and
Culture (3 credits)
Prerequisite: KNES287. Junior standing.
Credit will be granted for only one of the
following: KNES498E or KNES487.
Formerly KNES498E.
A study of the historical barriers to women's
participation in physical activity, efforts to
dismantle those barriers, and the
differentiation that exists in women's sport and
physical culture today. Exploration of the
historical and contemporary factors involving
female athletes in U.S. culture.
KNES 491 The Curriculum in
Physical Education (3 credits)
Prerequisites: KNES300, KNES360, and
KNES371.
Curriculum sources, principles, and planning
concepts, with emphasis on using valid criteria
for the selection of content for physical
education programs.
KNES 496 Quantitative Methods
(3 credits)
Statistical techniques most frequently used in
research pertaining to physical education.
Effort is made to provide the student with the
necessary skills and to acquaint the student
with the interpretations and applications of
these techniques.
KNES 497 Independent Studies
Seminar (3 credits)
Prerequisite: A professional writing coure
with a (C) or better; STAT 100 or
equivalent; all 7 KNES core and 2 KNES
options. 100 semester hours. Senior
standing. For KNES majors only.
Discussions of contemporary issues vital to the
discipline, critiques of research in the student's
area/areas of special interest, completion of a
major project where the student will be asked
to demonstrate the ability to carry out
investigative processes in problem solving and
critical writing under faculty direction.
KNES 498 Special Topics in
Kinesiology (3 credits)
Prerequisite: permission of department.
Repeatable when the subject matter is
different.
Topics of special interest in areas not covered
by regularly scheduled courses.
KNES 603 Advanced Motor
Development (3 credits)
The analysis of major theoretical positions in
motor skill development. Stage theory in
motor development; development of motor
skill memory; the development of motor
control and coordination; and the role of
reflexes in motor development.
KNES 604 Development of
Posture and Locomotion (3
credits)
Development of posture and locomotion in
humans integrating the perspectives of
biomechanics, neurophysiology, perception-
action theory and dynamical systems.
KNES 609 Research Issues in
Kinesiology (1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits.
Issues, methodologies, and critical analyses of
current research in Kinesiology.
KNES 610 Methods and
Techniques of Research (3
credits)
Studies methods and techniques of research
used in Kinesiology; an analysis of examples
of their use; and practice in their application to
problems of interest to the student.
KNES 612 Qualitative Research
(3 credits)
Theoretical frameworks and methodologies
necessary to conduct qualitative research,
including research designs, observation and
interview methods, data analysis, and
development of grounded theory.
KNES 613 Theories Physical
Culture (3 credits)
Credit will be granted for only one of the
following: KNES613 orKNES689I.
Formerly KNES689I.
Examines and assesses numerous social and
cultural theories as frameworks for critically
interpreting the varied institutions, ideologies,
and embodiments of physical culture.
KNES 614 Culture Studies and
Physical Culture (3 credits)
Credit will be granted for only one of the
following: KNES614 orKNES689P.
Formerly KNES689P.
Discusses the political commitments,
constituents, and complexities of cultural
studies, and their relevance for furthering the
469
understanding of physical culture and the
project of physical cultural studies.
KNES 615 The Body, Culture,
and Physical Activity (3 credits)
Critically examines the social constitution and
embodied experience of various empirical
fields of physical culture, including spoil,
health, movement, exercise, recreation dance,
and daily living related activities.
KNES 618 Current Readings in
Kinesiogenomics (1 credits)
Prerequisite: KNES360 or equivalent.
Credit will be granted for only one of the
following: KNES618, KNES609P, or
KNES609N.
Student-led presentations of contemporary
literature in the areas of genetics, exercise
science, fitness and health. Emphasis on
papers describing new research findings, novel
techniques, innovative methods, and emerging
issues.
KNES 620 Teaching Kinesiology
to Undergraduates (3 credits)
Credit will be granted for only one of the
following: KNES620 orKNES689W.
Formerly KNES689W.
Analysis and application of innovative
approaches to undergraduate kinesiology
course design, teaching, and evaluation (e.g.,
problem-based learning, inquiry learning,
simulations, collaboration, etc.).
KNES 630 Sociology of Sport in
Contemporary Perspective (3
credits)
Studies social organization and the role of
individuals and groups in sport situations: the
interrelationship of spoil with traditional social
institutions; spoil as a sub-system and its
structure; and sport and social problems.
KNES 631 Sport Event
Management and Marketing (3
credits)
This course is designed to introduce students
to principles and practices of planning,
funding, operating, managing, and evaluating
events in the sports industry.
KNES 635 Foundations of Sport
Management (3 credits)
Fundamental skills and principles in the
management of sport organizations, including
concerns involved in managing sport in public,
private, for profit and not for-profit sectors.
KNES 636 Sport and Mass
Media (3 credits)
Not open to students who have completed
KNES 689R prior to Fall 2001 semester.
Examination of various mass media theories
applied to sport. Application of
communication theory to the study of
mediated spoil. Research methodologies and
critical thinking.
KNES 642 Analyzing
Social/Cultural Functions of
Martial Arts in Contemporary
China (3 credits)
Not open to students who have completed
KNES452. Credit will be granted for only
one of the following: KNES452 or
KNES642.
Designed to help students understand, analyze,
and critique Wu Shu as a cultural driving force
in China. Sociocultural conflicts and harmony
between tradition and contemporary culture
are studied through the ramifications and
decision-making processes inherent in martial
arts.
KNES 646 Curriculum Design
for Adolescents (3 credits)
Adolescent characteristics as a basis for
curricular and programming decisions in
competitive, commercial, community and
educational programs. Alternative programs
for individuals at-risk to fail or drop-out of
traditional programs.
KNES 647 Cultural Perspectives
on Curriculum Development (3
credits)
Impact of the sociocultural factors on the
curricular and programming decision-making
process in physical education, exercise and
sport programs with illustrations from
competitive, commercial, community, and
educational settings.
KNES 650 Mental and Emotional
Aspects of Sports and
Recreation (3 credits)
Prerequisite: KNES 350.
An exploration of psychological aspects of
physical education, sports and recreation.
Includes personality dynamics in relation to
exercise and sports. A study is made of the
psychological factors in athletic performance
and coaching.
KNES 660 Psychology of
Athletic Performances (3
credits)
Prerequisite: KNES350. Credit will be
granted for only one of the following:
KNES660 or KNES689Z.. Formerly
KNES689Z.
Psychological factors, mechanisms, and
processes in athletic performance. The basic
approach is social psychological, according to
which the focus is on individual performance
in the inteipersonal athletic context.
Intrapersonal and interpersonal factors and
their effects on athletic performance.
Psychology of peak performance, choking, and
errors of mental control. Analysis of the
general principles and types of mental training.
KNES 663 History of Sport in
Western Culture (3 credits)
The history of sport in the ancient, medieval
and renaissance West.
KNES 670 Biomechanics Theory
(3 credits)
Prerequisite: MATH 141 or MATH 221.
Theoretical basis for understanding the
investigation of biomechanical aspects of the
human body. Integration of subject matter
from physics, engineering, anatomy,
kinesiology, and physiology as it relates to the
study of human motion and the body as a
mechanical system.
KNES 676 Multisensory
Perception and Human Motor
Control (3 credits)
Overview of the major sensory inputs to
human motor control and spatial orientatin
including auditory, somatosensory, visual and
vestibular.
KNES 688 Seminar in Motor
Learning and Performance (3
credits)
Prerequisites: KNES 385: and KNES 496.
Repeatable to 6 credits.
Discussion of research dealing with advanced
topics in motor learning and skilled
performance. Recent developments concerning
individual differences, refractoriness,
anticipation and timing, transfer, retention, and
work inhibition are emphasized.
KNES 689 Special Problems in
Kinesiology (1-6 credits)
Master or doctoral candidates who desire to
pursue special research problems under the
direction of their advisor may register for 1 -6
hours of credit under this number.
KNES 691 Muscular Aspects of
Exercise Physiology (3 credits)
Prerequisite: KNES 360. Recommended:
BSCI 422.
Skeletal muscle structure and function
including muscle development, excitation-
contraction coupling, muscle fiber types and
fatigue, muscle biochemistry, gene expression,
muscle damage and regeneration. The effects
of aging and exercise training on skeletal
muscle.
KNES 692 Cardiovascular
Aspects of Exercise Physiology
(3 credits)
Prerequisite: KNES 360.
A comprehensive consideration of the various
cardio vascular factors affecting human
physical performance. Emphasis on the
regulation of cardiovascular functions during
physical activity. Energy liberation and
transfer, circulation, respiration, temperature
regulation, physiology of work at altitudes,
aerobic endurance training, and exercise,
health and aging.
KNES 694 Metabolic Aspects of
Exercise Physiology (3 credits)
Prerequisite: KNES 360 or KNES 690.
Recommended: BCHM 461 and BCHM
462.
Effects of exercise on digestion, absorption,
transport, storage, mobilization, and utilization
of macronutrients. Emphasis on the effects of
exercise training on energy metabolism.
KNES 695 Laboratory
Techniques in Exercise
Physiology (3 credits)
Prerequisite: KNES 360.
Lab exercise testing techniques and
interpretation. Includes graded exercise
testing, V02 max, lactate threshold,
phlebotomy, exercise economy, body
composition, muscle biopsy, resting metabolic
rate, anaerobic power and blood flow.
KNES 696 Genetic Aspects of
Health and Fitness (3 credits)
Prerequisite: KNES360 or equivalent.
Credit will be granted for only one of the
following: KNES696 and KNES689Z.
Formerly KNES689Z.
An exploration of the impact of genetic
variation on human health and fitness, with
emphasis on the physiological response to
exercise. Consideration of human genome
biology, DNA sequence databases, methods,
gene/environment interaction, and ethical
issues.
KNES 703 Research Seminar in
Motor Development (3 credits)
Prerequisite: KNES 603 or permission of
dep ailment.
Issues and strategies in the design and
evaluation of research in motor skill
development. Course culminates in student
planning, conducting and interpreting a
reserch study.
470
KNES711 Professional
Development and
Grantsmanship (3 credits)
Open only to Doctoral students in programs
in the School of Public Health. Credit will
be granted for only one of the following:
KNES7 1 1 or KNES789X. Formerly
KNES789X.
Enhance continued professional development
through an exploration of culture, climate,
expectations and mentoring in research I
universities. Generate a grant application
including the hypothesis, structure, specific
aims, background and significance, and
submission of a total grant. Grant process and
product will be emphasized.
KNES 735 Sport Marketing (3
credits)
Prerequisites: KNES 610, KNES 635, and
permission of instructor.
Consumer behavior, marketing research,
marketing strategy, integrated marketing
communication and event marketing as
applied to spoil.
KNES 789 Advanced Seminar (1-
3 credits)
Studies the current problems and trends in
selected fields of physical education.
KNES 798 Internship in Physical
Education/Sports Management
(1-8 credits)
Prerequisite: permission of department.
Repeatable to 8 credits.
Practical application of previously acquired
skills and knowledge in a sport and/or physical
education setting. Emphasis on selected
experiences to enhance the total academic
program of the student. The internship site
assignment will depend upon student's
background and career goals.
KNES 799 Master's Thesis
Research (1-6 credits)
KNES 898 Pre-Candidacy
Research (1-8 credits)
KNES 899 Doctoral Dissertation
Research (1-8 credits)
Korean (KORA)
KORA 499 Independent Study
Korean (1-3 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits if content differs.
Independent study under faculty supervision.
Landscape
Architecture (LARC)
LARC 420 Professional Practice
(3 credits)
Prerequisite: LARC321. For LARC majors
only.
An introduction to and comparative study of
the professional concerns of design firms.
Focus on planning, legal, ethical, marketing
and management considerations of
interdisciplinary practices.
LARC 440 Urban Studio Design
(5 credits)
Two hours of lecture and six hours of
laboratory per week. Prerequisites:
LARC321, LARC340, and LARC341. For
LARC majors only.
The landscape architect's role within the
interdisciplinary urban design process,
focusing on urban site design issues.
Pedestrian friendly site design and the future
of sustainable development will be studied.
LARC 450 Environmental
Resources (3 credits)
Prerequisite: ENST200 or permission of
department.
A review of ecosystems and an examination of
planning strategies for preservation,
conservation, management and development
of sensitive natural and cultural landscape
resources in the mid-Atlantic region.
LARC 451 Sustainable
Communities (3 credits)
Explores concepts, strategies and examples of
community design which address the needs of
a growing population while preserving the
environment and its resources.
LARC 460 Landscape and
Identity: Placemaking Across
World Cultures (3 credits)
Prerequisite: LARC240 or permission of
department. Junior standing.
A cross cultural experience that emphasizes
the integration of cultural diversity, individual
identity and placemaking skills introduced
through the landscape architecture curriculum.
Explores the landscape as intimately
connected to their individual selves and to the
collective sense of community. Examines how
the mixture of social-cultural systems, on a
global scale, impacts the way we shape our
built environment. Investigates these
phenomena theoretically and analytically
through team and individual projects, lectures,
films, discussions and presentations.
LARC 470 Landscape
Architecture Seminar (3 credits)
Two hours of lecture and one hour of
discussion/recitation per week.
Prerequisites: LARC321 andLARC341.
Corequisite: LARC440. Senior standing.
For LARC majors only.
A combination of self-directed study, seminar,
and lecture formats. An introduction to aspects
of research methods, critical analysis, and
proposal writing with a focus on urban and
community design.
LARC 471 Capstone Studio:
Community Design (5 credits)
Two hours of lecture and six hours of
laboratory per week. Prerequisites:
LARC440 and LARC470. Senior standing.
For LARC majors only.
A capstone experience that emphasizes the
integration of critical thinking skills and
methodologies introduced throughout the
landscape architecture curriculum. Students
apply design and analysis methodologies,
evaluate alternative solutions, involve
community residents and engage in final
design development, using the master plan and
site design process, report writing, and oral
and graphic presentations. Final presentations
are open to the university and the community.
LARC 489 Special Topics in
Landscape Architecture (1-4
credits)
Prerequisite: permission of department.
Repeatable to 4 credits if content differs.
Credit according to time scheduled and
organization of course. A lecture and/or studio
course organized as an in-depth study of a
selected specialization of landscape
architecture not covered by existing courses.
LARC 499 Independent Studies
in Landscape Architecture (1-4
credits)
Prerequisite: 12 credits in LARC or
permission of department. For LARC and
PLSC majors only. Repeatable to 4 credits
if content differs.
Independent studies in landscape architecture
including field, studio or library research
under the direction of a faculty member.
LARC 620 Graphic Tools for
Landscape Representation (3
credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
permission of department. Corequisite:
LARC640.
This course integrates digital amd analog
methods of communication and provides an
introduction to computer tools and techniques
commonly used in landscape architecture
practice. Non-drafting computer tools will be
used to orient basic digital image capture,
manipulation, and presentation formatting.
Also includes techniques and application of
various media for graphic communication
associated with landscape architecture.
LARC 621 Digital Drafting and
Mapping (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
LARC620 or permission of department.
The development and application of
computing tools as used by the landscape
architecture profession. Computer-Aided
Design and Drafting (CADD) develops
computer drafting skills using a variety of
software programs. It also introduces students
to Geographic Information Systems (GIS)
mapping technologies, computational
representations and modeling of landscape
processes and solution methods for problems
involving the special arrangement of land use
activities.
LARC 640 Graduate Studio I (5
credits)
Two hours of lecture and six hours of
laboratory per week. Prerequisite:
permission of department. Corequisite:
LARC620.
Principles and techniques of design as applied
to shaping the landscape; developing concepts
in visual thinking, environmental awareness,
and design intervention through studio
exercises and projects.
LARC 641 Graduate Studio II (5
credits)
Two hours of lecture and six hours of
laboratory per week. Prerequisite:
LARC640 and permission of department.
Corequisite: LARC720.
Principles and techniques of site analysis,
environmental design and site development for
human settlements and interaction with natural
systems. Will expand analytical skills through
complex site design problems. Students will
research, observe and apply low impact
development and sustainable practices,
become familiar with building and landscape
types by investigating alternative
arrangements on the land, and understand user
needs and design for populations with a range
of abilities. Will support LEED and
sustainable practices and acknowledge the
471
requirements of public health, safety, and
welfare.
LARC 642 Graduate Studio III (5
credits)
Two hours of lecture and six hours of
laboratory per week. Prerequisite:
LARC641 and permission of department.
Corequisite: LARC670.
A focus on the interaction of landscape science
(hydrology, geology, etc.) with the necessities
and mechanisms of human settlements
(transportation, economics, etc.) emphasizing
innovative and forward thinking solutions to
urbanization and ecological problems. It will
apply this knowledge to landscape analysis,
recreational planning and design, and
community development, emphasizing
resource management, spatial organization,
landscape character, and the physical and
social structure of community services. This
course will be required for both Trach 1 and
Track 2 students.
LARC 648 Graduate Studio IV (5
credits)
Two hours of lecture and six hours of
laboratory per week. Prerequisite:
LARC642, LARC721 and permission of
department. Repeatable to 6 credits if
content differs.
An exploration that will focus on issues in
landscape planning and design such as campus
planning, urban housing and recreation, and
neighborhood preservation, restoration and
development. Projects will emphasize the
value of responsible academic and civic
landscapes, the place of historic resources in
contemporary life, and innovative solutions for
the integration of past and future landscapes.
LARC 660 Landscape and
Identity: Placemaking Across
World Cultures (3 credits)
One hour of lecture and two hours of
discussion/recitation per week.
Prerequisite: permission of department.
Comparing and constrasting the Eurocentric
view of landscape with various other cultural
perspectives that offer alternative narratives of
landscape and identity. The examination of
cultural perspectives will parallel an ongoing
exploration of how landscape can inform
questions about the personal and social
implications in an era globalization.
LARC 663 Landscape and
Garden History (3 credits)
One hour of lecture and two hours of
discussion/recitation per week.
Prerequisite: permission of department.
History of garden making and its evolution
into design practice. Students will become
familiar with narratives of garden art and
landscape architecture through the study of
selected key sites, designers, and visual
written sources. A focus on gardens' past and
afterlife; the nature of primary sources (both
built and written), and how these can be
evaluated and used. Primary sources will be
drawn from several disciplines and include a
wide array of genres: treatises, epistolary
exchanges, tax returns, novels, poems,
paintings and drawings.
LARC 670 Landscape
Architecture Theory and
Criticism (3 credits)
Prerequisite: permission of department.
Review and analysis of the body of literature
concerning landscape architecture and
relationships between humans and both natural
and designed environments. Topics may
include: rationalism, ethics, aesthetics, social
and economic values, postmodernism,
feminist, multiculturalism, ecological
determinism, preservation/conservation, and
sustainability and ecological design. Each
week students will lead a debate and
discussion on a theoretical issue based on the
assigned readings for that week.
LARC 671 Landscape
Architecture Research Methods
(3 credits)
Prerequisite: permission of department.
Investigation and discussion of broad scope of
research methods and the development of
landscape design and planning research
techniques and skills. The urban environment
will be viewed primarily as a social and
psychological environment, with concern for
who uses these environments and the conflicts
that can arise between user groups.
LARC 720 Environmental
Analysis and Site Engineering (3
credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
LARC640 or permission of department..
Corequisite: LARC641.
Techniques for prediction of alterations in
social and natural processes brought about by
human use of the land; application of such
assessments to environmental management;
basic methods of landscape alteration,
augmentation, and control including grading,
drainage, road and trail design, and stormwater
management.
LARC 721 Landscape
Construction Methods and
Materials (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
LARC720 and permission of department.
Basic methods of constructing landscapes and
manipulatiing the appropriate plant and
inorganicc materials for the creation of
ecologically sustainable environments for
human use. An examination of the use,
properties, and detailing of materials used in
landscape construction.
LARC 748 Advanced Special
Topics Studio (6 credits)
Two hours of lecture and eight hours of
laboratory per week. Prerequisite:
LARC648,LARC671 and permission of
department. Repeatable to 12 credits if
content differs.
Advanced special topics comprehensive
landscape architecture studio-exploration will
focus on cultural, behavioral and ecological
issues in the physical planning and design of
urban landscapes.
LARC 799 Master's Thesis
Research (1-6 credits)
Two hours of lecture and eight hours of
laboratory per week. Prerequisite:
LARC748 and permission of department.
Repeatable to 12 credits if content differs.
Development of a terminal thesis on a problem
in landscape architecture, designed to
demonstrate comprehensive skills and
knowledge achieved in the graduate program.
The subject will be selected in consultation
with an advisor and periodically reviewed with
a committee headed by the advisor.
Latin American
Studies (LASC)
LASC 403 Research and
Information Sources in Latin
American Studies (1 credits)
Two hours of lecture per week.
Corequisite: LASC458;. Recommended:
LASC234 and LASC235. Senior standing.
Also offered as SPAN403.
A foundational course in Latin American
Studies information sources. Students will
devise a search strategy and explore reference
materials available to the Latin American
Studies researcher.
LASC 423 Research Sources
and Methods in Latin America
Studies (3 credits)
Research methodologies in Latin American
studies.
LASC 448 Special Topics in
Latin American Studies (3
credits)
Junior standing. Repeatable to 6 credits if
content differs.
Intensive study of a selected topic related to
Latin American Studies.
LASC 458 Senior Capstone
Course in Latin American
Studies (3 credits)
Three hours of lecture per week.
Prerequisites: LASC234 and LASC235 or
permission of department. Recommended:
LASC403. Senior standing. For LASC
majors only. Also offered as SPAN458.
Capstone course for advanced students in the
Latin American Studies Certificate Program or
other students with appropriate preparation.
Interdisciplinary topics will vary each
semester.
LASC 499 Independent Study in
Latin American Studies (1-3
credits)
Prerequisite: permission of instructor.
Independent Study in Latin American Studies.
Latin (LATN)
LATN 402 Tacitus (3 credits)
LATN 403 Roman Satire (3
credits)
LATN 405 Lucretius (3 credits)
LATN 410 Latin Historians (3
credits)
Latin historical writing as a literary genre.
Influences, style, and literary techniques.
LATN 415 Vergil's Aeneid (3
credits)
Formerly LATN305.
Vergil's Aeneid: readings of selections in Latin
and of the entire epic in English translation
along with critical essays.
LATN 420 Cicero and Caesar (3
credits)
Reading and analysis of texts by M. Tullius
Cicero and C. Iulius Caesar, with emphasis on
the relationships between them and on the
period of the Civil War.
LATN 424 Silver Age Latin (3
credits)
Reading and analysis of selected texts.
Emphasis on the role of Nero and Seneca in
literary developments.
472
LATN 472 Historical
Development of the Latin
Language (3 credits)
Credit will be granted for only one of the
following: LATN472 or LING431.
An analysis of the development of the Latin
language from archaic times to the Middle
Ages.
LATN 488 Latin Readings (3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
The reading of one or more selected Latin
authors from antiquity through the
Renaissance. Reports.
LATN 499 Independent Study in
Latin Language and Literature
(1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
LATN 604 Cicero (3 credits)
A study of Cicero's contributions to Roman
literature and culture. Readings from the
speeches, letters, and/or philosophical and
rhetorical works. The development of Cicero's
style, his philosophy, and his attitudes toward
the changing political scene between 82 and
43 B.C.
LATN 605 Vergil (3 credits)
A study of Vergil's development as a literary
artist and Augustan poet through readings in
the Eclogues. Georgics. and Aeneid.
LATN 620 Archaic Latin (3
credits)
An investigation of both the evolving Latin
language and the emerging literary genres of
the late third and second centuries B.C.
LATN 622 The Age of Caesar (3
credits)
Life and works of G. Julius Caesar and of his
contemporaries in their social, political and
intellectual contexts. Close analysis of the
texts and familiarization with major
developments in modern scholarship.
LATN 623 The Augustan Age (3
credits)
Analysis of the major literary figures and
genres in prose and poetry of the period from
43 BC to AD 14.
LATN 624 Silver Age Latin (3
credits)
An investigation of both the evolving Latin
language and the major literary figures and
genres in prose and poetry of the period from
A.D. 14 through the mid-second century.
LATN 630 Latin Literature of the
Late Empire (3 credits)
An examination of Latin literary texts from the
third to the fifth centuries A.D., Christian as
well as pagan.
LATN 631 Medieval Latin (3
credits)
An examination of literary documentary texts
in Latin from the end of the Roman Empire to
the Renaissance.
LATN 640 Latin Pedagogy (3
credits)
Three hours of lecture per week.
Prerequisite: 300-level Latin course or
permission of instructor.
Learning styles and abilities in the Latin
language classroom; textbooks and workbooks
for teaching Latin; integrating Roman culture
into language study; computer and
technological resources for Latin Language
instruction; using videos and feature films in
Latin and classical civilization classes; the
articulation between secondary school and
college-level Latin study. Meets in a series of
five day-long Saturday workshops and
culminates in an outreach program for
secondary school Latin students.
Recommended for teachers, graduate students
and undergraduates plannig to teach Latin.
LATN 672 Historical
Development of the Latin
Language (3 credits)
An analysis of the development of the Latin
language from the archaic period to the Middle
Ages.
LATN 688 Special Topics in
Latin Literature (3 credits)
Repeatable to 9 credits if content differs.
LATN 699 Independent Studies
in Latin Literature (1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits.
LATN 799 Master's Thesis
Research (1-6 credits)
Library Science
(LBSC)
LBSC 488 Recent Trends and
Issues in Library and
Information Services (1-3
credits)
Repeatable to 9 credits.
Discussions of recent trends and issues in
library and information services. Designed for
practicing professionals.
LBSC 499 Workshops, Clinics,
and Institutes (1-9 credits)
Repeatable to 9 credits.
Workshops, clinics, and institutes developed
around specific topics or problems. Primarily
for practicing librarians.
LBSC 601 Users and
Information Context (3 credits)
Prerequisite: permission of department.
Nature and roles of information and
information institutions; information behavior;
studying information behavior; information
policy; the information professions.
LBSC 603 Library Systems
Analysis (3 credits)
Prerequisite: permission of department.
Systems approach to library and information
services, emphasizing managerial decision
making and problem solving. Model building,
flowcharting, motion and time study, cost
analyses, system design, and evaluation
methods.
LBSC 605 Archival Principles,
Practices, and Programs (3
credits)
Prerequisite: permission of department.
Introduction to all aspects of archival work,
including records management, appraisal, and
selection, arrangement and description,
preservation, electronic records, reference and
outreach. Elements of an archival program.
The role and work of archivists. Issues,
conditions, and needs in the field.
LBSC 61 1 History of the Book (3
credits)
Not open to students who have completed
LBSC708B. Credit will be granted for only
one of the following: LBSC61 1 or
LBSC078B. Formerly LBSC708B.
Introduction to the history and development of
the book from pre-printing and incunabula to
the post-modern book. Book illustration;
publishing; collecting.
LBSC 620 Diverse Populations,
Inclusion, and Information (3
credits)
Importance of equality of information access.
Social, political, and technological barriers to
information. Information needs of diverse and
underrepresented populations. Principles of
inclusive information services.
LBSC 622 Information and
Universal Usability (3 credits)
Information services and technologies to
provide equal experiences and outcomes to all
users. Laws, standards, approaches,
component concepts, access needs, and
technologies in relation to physical and online
information environments.
LBSC 627 Older Adults and
Information (3 credits)
Credit will be granted for only one of the
following: LBSC627 or LBSC708C.
Formerly LBSC708C.
Information needs, behaviors, and resources of
older adults. Challenges and opportunities in
providing appropriate services to the aging
population. Information technology and
computer literacy. Libraries as a key site for
information, lifelong learning, and
empowerment.
LBSC 635 Management and
Administration for the
Information Professional (3
credits)
Not open to students who have completed
LBSC630.
Management and administrative theory and
principles and their implications and
applications to information organizations.
LBSC 640 Library Media
Specialists as Information
Professionals (3 credits)
Prerequisite: Permission of department and
instructor required. Credit will be granted
for only one of the following: LBSC 640 or
LBSC 643. Formerly LBSC643.
Foundational concepts in information studies
and in school library media programs and
services. Current and evolving educational
systems; roles and functions of library media
specialists within them.
LBSC 641 Selecting and
Evaluating of Resources for
Learning (3 credits)
Prerequisite: permission of department.
Policies and procedures for collection
development, including identifying,
evaluating, acquiring, providing, and
promoting resources in all formats, to support
learning and teaching in elementary and
secondary schools.
LBSC 642 Integrating
Technology into Learning and
Teaching (3 credits)
Three hours of lecture per week.
Prerequisite: permission of department.
Credit will be granted for only one of the
following: LBSC 642 or LBSC 708C.
Formerly LBSC708C.
Hardware, software, video and other
473
equipment, and networking in schools.
Pedagogic uses of information technology,
including networked resources and
multimedia.
LBSC 645 Literature and
Materials for Children (3
credits)
Prerequisite: permission of department.
Survey of literature and other materials for
children and youth. Criteria for evaluating and
using such materials as they relate to the
needs, interests, reading abilities, and other
capabilities of young readers.
LBSC 646 Literature and
Materials for Young Adults (3
credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: LBSC646 or LBSC746.
Formerly LBSC746.
Survey of literature and other materials for
older children and adolescents. Criteria for
evaluating and using such materials as they
relate to the needs, interests, reading abilities,
and other capabilities of young readers.
LBSC 647 Children's Services in
the Public Library (3 credits)
Public library services for children, birth to 12
years of age. Developmental characteristics
and information needs of children. Children as
a client group. Programming and collection
development. Management of children's
services, including planning, staffing, and
advocacy.
LBSC 650 Information Access
Services (3 credits)
Prerequisite: permission of department.
Information needs, search mediation, search
strategies, reference services, information
access issues, collection development.
LBSC 670 Organization of
Information (3 credits)
Prerequisite: permission of department.
Functions and evaluation of document and
information retrieval systems; analysis and
representation of data, information,
knowledge, language, and text; metadata for
the control of documents and other objects.
LBSC 680 Principles of Records
and Information Management (3
credits)
Prerequisite: permission of department.
Principles and practices of managing records
in the context of information management
programs in government, corporate and other
institutional settings. Includes access; legal
requirements; digital technologies; and
creation, administration, appraisal, and
retention and disposition of records.
LBSC 682 Management of
Electronic Records &
Information (3 credits)
Prerequisite: permission of department.
Role of archivists and records managers in the
management of electronic records. Records
life cycle and the impact of technology
programs for managing electronic records.
LBSC 684 Archival Arrangement
and Description (3 credits)
Prerequisite: permission of department.
Principles of archival arrangement and
descriptioin and their application to making
archival material available for research and
use. National and international standards for
archival description. Studies of users of
archival descriptive systems. Current and
emerging issues.
LBSC 690 Information
Technology (3 credits)
Prerequisite: permission of department.
Human-centered design issues,
implementation and technical issues, and
application and sociotechnical issues of
information technology; emerging information
technologies.
LBSC 698 Children's
Information Technology and
Policy (3 credits)
Repeatable to 6 credits if content differs.
Formerly LBSC708N.
Topics and issues in information technology
and children.
LBSC 701 Research Methods in
Library and Information Studies
(3 credits)
Prerequisite: permission of department.
Techniques and strategies of research as
applied to the definition, investigation, and
evaluation of information problems.
LBSC 702 User Instruction (3
credits)
Prerequisite: permission of department.
Critical analysis of the rationale, content, and
processes of user instruction in library and
information settings.
LBSC 703 Field Study in
Archives, Records and
Information Management (3
credits)
Prerequisite: LBSC605 Archival Principles,
Practices, and Programs or permission of
instructor,
Supervised experience in archival, records, or
information management programs in
organization and institutions. Application of
theories, methods, and approaches to
effectively carry out work and meet program
goals.
LBSC 705 Infomation for
Decision-Making (3 credits)
Prerequisite: permission of department.
The use of information in organizational and
individual decision- making. Managers'
behavior in using information, differences
between the private and public sectors, and the
roles of information professionals and
information systems in decision-making.
LBSC 706 Seminar in
International and Comparative
Librarianship and Information
Science (3 credits)
Prerequisite: permission of department.
Comparison and contrast of bibliographic
systems, institutions, service arrangements,
and professional patterns in developed and
developing cultures. Libraries, information
organizations, and international information
systems viewed against the backdrop of
national cultures. Influences of social,
political, and economic factors upon these
forms.
LBSC 707 Field Study in Library
Service (3 credits)
Prerequisites: all core courses and
permission of department.
Unpaid, supervised experience within library
operations and/or the opportunity to perform a
study to solve a specific problem in a suitable
library or other information agency.
LBSC 708 Special Topics in
Information Studies (1-3
credits)
Prerequisite: permission of department.
Repeatable with different topics, but no
student may earn more than 9 credits in
LBSC 708 nor more than a total of 12
credits in both LBSC 708 and LBSC 709.
Consult Schedule of Classes or CLIS
informational materials for specific offerings.
LBSC 709 Independent Study (1-
3 credits)
Prerequisite: permission of department. No
student may earn more than 9 hours under
LBSC 709 nor more than a total of 12
hours in both LBSC 708 and LBSC 709.
Intensive individual study, reading, or research
in an area of specialized interest under faculty
supervision. Registration limited to the
advanced student with the approval of the
advisor and of the faculty member involved.
LBSC 713 Planning and
Evaluating Library Services (3
credits)
Prerequisite: permission of department,
analysis of quantitative and qualitative
methods for planning and evaluating library
and information services. Demonstration and
use of selecte d methods, including project
planning and monitoring methods.
LBSC 723 Advocacy and
Support for Information
Services (3 credits)
Prerequisite: permission of department.
Role and influence of government,
foundations, associations, and other
organizations in supporting and setting the
agenda for information services of all types.
Role of information professionals in
demonstrating advocacy, fund-raising, public
relations, lobbying, and seeking external
support.
LBSC 724 Public Library
Seminar (3 credits)
Prerequisite: permission of department.
Organization, support, and service patterns of
public libraries. The public library in national,
state, and local contexts.
LBSC 729 International
Opportunities in Information
Studies (3 credits)
Prerequisite: MLS Core Requirements.
Repeatable to 6 credits if content differs.
Formerly LBSC708S.
Short term, experiential course offered in
conjuction with the University's Study Abroad
Office, to volunteer, complete a project, or
condeuct research in a library or information
organization outside the U.S. Focus and
location varies.
LBSC 731 Special Collections (3
credits)
Prerequisite: LBSC601 or LBSC605; or
permission of instructor.
Management of special collections, whose
holdings may include manuscripts
(particularly personal papers), non-textual
materials, graphical materials, and rare books,
with analysis of the custodial and management
functions associated with special collections.
LBSC 734 Seminar in the
Academic Library (3 credits)
474
Prerequisite: permission of department.
Role of the academic library within the
framework of higher education. Planning
programs and services, collections, support,
fiscal management, physical plant, and
cooperation.
LBSC 735 Legal Issues in
Managing Information (3
credits)
Prerequisite: permission of department.
Legal issues related to collecting, maintaining,
and providing access to information materials.
Includes ownership, copyright, privacy,
freedom of information, and related issues in
archives, libraries and other settings.
LBSC 737 Seminar in the
Special Library and Information
Center (3 credits)
Prerequisite: LBSC 601, LBSC 650, LBSC
670, and LBSC 690; or permission of
instructor.
Role of special libraries and information
centers in the information transfer process.
Analysis of the information transfer system;
information needs and uses; management of
special libraries and information centers; and
types of libraries, such as governmental or
industrial libraries, archives, and information
analysis centers.
LBSC 741 Seminar in School
Library Media Program
Administration (3 credits)
Prerequisites: permission of instructor.
Development, management, and evaluation of
school library media programs at all levels.
LBSC 742 Collaborative
Instructional Design and
Evaluation (3 credits)
Prerequisites: permission of department.
Library media specialists' collaborative role in
instruction. Systematic design, development,
and evaluation of instructional strategies and
products for learning.
LBSC 744 Field Study in School
Library Media Programs (3
credits)
Prerequisite: LBSC 741 and LBSC 742; or
permission of instructor.
Practicum and seminar in library media
programs at the elementary, middle, and
secondary levels.
LBSC 745 Storytelling Materials
and Techniques (3 credits)
Prerequisite: LBSC645 or equivalent.
Literary sources and instruction and practice in
oral techniques.
LBSC 748 Advanced Seminar in
Children's Literature (3 credits)
Prerequisites: permission of department;
and LBSC 645 or permission of instructor.
Selected topics in literature for children and
adolescents, including historical aspects,
individual authors, and major themes and
trends.
LBSC 750 Information Access in
Electronic Environments (3
credits)
Prerequisite: permission of department and
LBSC 650.
Analysis of information problems, search
strategy development, evaluation of electronic
resources, command language comparisons,
evaluation of search results.
LBSC 751 Information Access in
the Humanities (3 credits)
Prerequisite: permission of department and
LBSC 650.
Research methods, information needs,
information structure, and information sources
and services in the humanities (for example,
religion, philosophy, language, literature,
history, music history, art history).
LBSC 752 Information Access in
the Arts (3 credits)
Prerequisite: permission of department and
LBSC 650.
Research methods, practice, information
needs, information structure, and information
sources and services in the visual arts (for
example, fine arts, decorative arts,
architecture, photography) and the performing
arts (for example, theater, film, music, dance,
and costume).
LBSC 753 Information Access in
the Social Sciences (3 credits)
Prerequisite: permission of department and
LBSC 650.
Research methods, information needs,
information structure, and information sources
and services in the social sciences (for
example, anthropology, economics, education,
geography, history, political science,
psychology, sociology).
LBSC 756 Information Access in
Science and Technology (3
credits)
Prerequisite: permission of department and
LBSC 650.
Research methods, information needs,
information structure, and information sources
and services in science and technology (for
example, biology, chemistry, physics, math,
agriculture, computer science, engineering).
LBSC 758 Special Topics in
Information Access (3 credits)
Prerequisite: permission of department and
LBSC650. Recommended: LBSC750.
Repeatable to 6 credits if content differs.
Topics and issues in information access.
LBSC 762 Information Access in
the Health Sciences (3 credits)
Prerequisite: LBSC650 or permission of
instructor,
Health sciences reference sources, stressing
specialized reference and services
characteristic of clinical medicine and health
care delivery. Major emphasis on literature
searches using MEDLINE and other manual
and online databases. Considerable time spent
at the National Library of Medicine or another
medical library.
LBSC 764 Access to Legal
Information (3 credits)
Prerequisite: permission of department; and
LBSC 650.
Research methods, practices, information
needs, information structure, and information
sources and services related to law.
LBSC 766 Access to Business
Information (3 credits)
Prerequisite: permission of department and
LBSC 650.
Research methods, information needs,
information structure, information sources and
services related to business.
LBSC 767 Access to Federal
Government Information (3
credits)
Prerequisite: permission of department and
LBSC 650.
Research methods, information needs,
information production and structure,
information sources and services, and
selection policies related to federal
government information.
LBSC 770 Bibliographic Control
(3 credits)
Prerequisite: permission of department and
LBSC 670.
Problems and current issues in bibliographic
control. Study and use of subject heading lists,
thesauri, classification schemes, cataloging
standards, and bibliographic utilities.
LBSC 773 Classification Theory
(3 credits)
Prerequisites: permission of department
and LBSC 670.
Survey of classificatory principles from
bibliographic, philosophical, biological,
psychological, and linguistic perspectives.
Challenges to traditional principles from the
cognitive sciences and their implementations
for bibliographic classification.
LBSC 774 Seminar in Linguistic
Topics (3 credits)
Prerequisite: permission of department and
LBSC 670.
Topics in linguistics with applications in
information science. Syntax and semantics as
they apply to the analysis of communication
processes and to natural language processing
for information storage and retrieval.
LBSC 775 Construction and
Maintenance of Index
Languages and Thesauri (3
credits)
Prerequisite: permission of department and
LBSC 670.
Design of index languages/thesauri and
procedures for their construction. Analysis and
evaluation of existing index
languages/thesauri. Term project in
constructing an index language/thesaurus.
LBSC 781 Access Techniques
and Systems for Archives (3
credits)
Prerequisite: permission of department and
LBSC 605.
Methods, approaches, and systems to locate,
access, and use archival records, including
systems analysis, control systems, researcher
services, descriptive formats and techniques,
and the use of digital technologies and the
Internet for accessing archival records.
LBSC 783 Seminar in Technical
Services (3 credits)
Prerequisite: permission of department.
Technical services in large libraries, including
acquisitions, cataloging, serials control,
automation, cooperative programs, and
managerial controls.
LBSC 784 Digital Preservation (3
credits)
Prerequisite: LBSC601 or LBSC605; or
permission of instructor. Not open to
students who have completed LBSC708Q.
Credit will be granted for only one of the
following: LBSC708Q or LBSC784.
Formerly LBSC708Q.
Issues and practices regarding digitization of
475
analog materials and preservation of digital
materials, both digitized and born digital.
LBSC 785 Documentation,
Collection, and Appraisal of
Records (3 credits)
Prerequisite: LBSC 605 Archival,
Principles, Practices, and Programs or
permission of instructor.
Development of documentation strategies and
plans; collecting policies to guide programs in
acquiring records; theories and techniques for
appraising records to identify those with
continuing value.
LBSC 786 Library and Archives
Preservation (3 credits)
Prerequisite: permission of department.
Literature and key issues in the preservation of
archival and library materials. The
development of preservation programs and the
establishment and maintenance of effective
management techniques. The nature of the
materials from which archives and books are
made, causes of damage and deterioration,
binding structures and environmental concerns
will be discussed within the context of general
archives and libraries administration.
LBSC 788 Seminar in Archives,
Records, and Information
Management (3 credits)
Prerequisite: LBSC605 Archival Principles,
Practices, and Programs or permission of
instructor. Repeatable to 6 credits if content
differs.
Analysis and discussion of issues and topics in
the development and administration of
programs for archives, records and
information management. Repeatable with
permission of instructor.
LBSC 789 Special Topics in
Contemporary Archives (3
credits)
Prerequisite: LBSC605.
Issues in administering contemporary archives
and records management programs.
LBSC 790 Building the Human-
Computer Interface (3 credits)
Prerequisite: Programming experience and
permission of department. Corequisite:
LBSC 795 or CMSC 434. Credit will be
granted for only one of the following:
LBSC 708L or LBSC 790. Formerly
LBSC708L.
Principles and techniques for user interface
implementation. Principles for building
reliable large-scale interface components. Use
of prototyping and rapid development tools.
Techniques for managing user input and visual
and auditory displays.
LBSC 794 Principles of Software
Evaluation (3 credits)
Prerequisites: permission of department
and LBSC 690.
Human factors and other criteria for evaluating
software for instructional, library, and
information applications. Systematic
procedures for evaluating and selecting
appropriate packages.
LBSC 795 Principles of Human-
Computer Communication (3
credits)
Prerequisite: permission of department and
LBSC 690.
Principles of human-human and machine-
machine communication as a basis for models
of human-computer communication. Issues
related in input/ output devices, conceptual
models, levels of control, metaphor and
personification, adaptability, and
intensionahty/extensionality.
LBSC 799 Master's Thesis
Research (1-6 credits)
LBSC 801 Introduction to
Research (1 credits)
For doctoral students in Information
Studies; other students by permission of
instructor only.
Overview of the research process in
information studies form designing and
conducting a study to disseminating results.
Introduction to proposal writing and funding
sources.
LBSC 802 Seminar in Research
Methods and Data Analysis (3
credits)
Prerequisite: permission of department; and
coursework in statistics and introduction to
research methods.
Topics and issues in information studies
research. Design and conduct of research
project.
LBSC 810 Individual Research
Experience (3 credits)
Prerequisite: permission of instructor.
Restricted to pre-candidacy doctoral
students in information studies; other
students by permission of instructor only.
Pre-candidacy individual research experience
directed by a faculty member.
LBSC 878 Doctoral Seminar in
Information Studies (3 credits)
Prerequisite: permission of department.
Limited to doctoral students and advanced
MLS students with permission of
instructor, Repeatable with different
topics.
Seminar topics offered as faculty and student
interests warrant. Topic varies.
LBSC 888 Doctoral Seminar (3
credits)
For doctoral students in information
studies; other students by permission of
instructor only. Prerequisite: permission of
instructor. Repeatable to 6 credits if content
differs.
Advanced seminar on selected topics in
information studies.
LBSC 898 Pre-Candidacy
Research (1-8 credits)
LBSC 899 Doctoral Dissertation
Research (1-8 credits)
Lesbian Gay
Bisexual
Transgender Studies
(LGBT)
LGBT 407 Gay and Lesbian
Philosophy (3 credits)
Also offered as PHIL407. Not open to
students who have completed PHIL407.
Credit will be granted for only one of the
following: PHIL407 or LGBT407.
An examination in historical and social
context of personal, cultural, and political
aspects of gay and lesbian life, paying
particular attention to conceptual, ontological,
epistemological, and social justice issues.
LGBT 448 Special Topics in
Lesbian, Gay, Bisexual, and
Transgender Studies (3 credits)
Prerequisite: LGBT200 or permission of
program. Junior standing. Repeatable to 9
credits if content differs.
In-depth study of particular themes and issues
in LGBT studies.
LGBT 459 Selected Topics in
Sexuality and Literature (3
credits)
Three hours of lecture per week.
Prerequisite: two lower-level English
courses, at least one in literature.
Repeatable to 9 credits if content differs.
Also offered as ENGL459.
Detailed study of sexuality as an aspect of
literary and cultural expression.
LGBT 465 Theories of Sexuality
and Literature (3 credits)
Three hours of lecture per week.
Prerequisite: two lower-level English
courses, at least one in literature. Also
offered as ENGL465. Not open to students
who have completed ENGL465. Credit will
be granted for only one of the following:
ENGL465orLGBT465.
An in-depth study of the ways in which
sexuality and sexual difference create or
confound the conditions of meaning in the
production of literary texts. Attention to
psychoanalysis, history of sexuality, feminist
theory, and other accounts of sexual identity.
LGBT 488 Seminar in Lesbian,
Gay, Bisexual, and Transgender
Studies (1-3 credits)
Prerequisites: 9 credits in LGBT Studies
and permission of program. Recommended:
LGBT200 and ENGL265 or CMLT291.
Repeatable to 9 credits if content differs.
Not open to students who have completed
CMLT498Y. Formerly CMLT498Y.
Developments in theories and methods of
LGBT Studies, with emphasis upon interaction
between the humanities and the social sciences
in the elaboration of this interdisciplinary area
of scholarship.
LGBT 494 Lesbian Communities
and Differences (3 credits)
Prerequisite: One course in Women's
Studies, preferably WMST200 or
WMST250. Also offered as WMST494.
Credit will be granted for only one of the
following: LGBT494 or WMST494.
The meanings of lesbian communities across
many lines of difference. Using lesbian-
feminists of the 1970s as a starting point, we
will look both back and forward in history,
tracing changes and exploring the meanings of
these in their social and historical contexts.
LGBT 499 Independent Study (1-
3 credits)
Prerequisite: LGBT200 and permission of
department. Senior standing. Repeatable to
6 credits if content differs.
Directed research and analysis in LGBT
Studies on a topic selected by the student.
Linguistics (LING)
LING 410 Grammar and Meaning
(3 credits)
Prerequisite: LING 31 1 or permission of
476
instructor.
The basic notions of semantic theory:
reference, quantification, scope relations,
compositionality, thematic relations, tense and
time, etc. The role these notions play in
grammars of natural languages. Properties of
logical form and relationship with syntax.
LING 411 Comparative Syntax (3
credits)
Prerequisite: LING312 permission of
instructor.
Comparison of data from a variety of
languages with respect to syntactic theory in
order to investigate how parameters of
universal grammar are fixed differently in
different languages. Attempts to work out
fragments of grammars for some languages.
LING 419 Topics in Syntax (3
credits)
Prerequisite: LING31 1. Repeatable to 12
credits if content differs .
Topics vary.
LING 420 Word Formation (3
credits)
Prerequisite: LING311 and LING321.
Examination of shape and meaning of possible
words, both across languages and within
particular languages. Interaction between
principles of word formation and other
components of a grammar: syntax, logical
form and phonology.
LING 429 Topics in Phonology
(3 credits)
Prerequisite: LING322. Repeatable to 6
credits if content differs.
Advanced seminar in phonology. Topics vary.
LING 430 Language Change (3
credits)
Prerequisite: LING240.
Changes in grammars from generation to
generation. Consequences for the theory of
grammars. Traditional work on historical
change.
LING 439 Topics in Diachronic
Linguistics (3 credits)
Repeatable to 6 credits if content differs.
LING 440 Grammars and
Cognition (3 credits)
Prerequisite: LING311 and LING321.
Relationship between the structure,
development and functioning of grammars and
the structure, development and functioning of
other mental systems. Interpretations of
experimental and observational work on
children's language, aphasia, speech
production and comprehension.
LING 443 Programming for
Linguistics (3 credits)
Prerequisite: permission of department.
A one-semester introduction to computer
programming, geared for linguists and others
who are not computer scientists. Not intended
for students who already have significant
programming experience.
LING 444 Child Language
Acquisition (3 credits)
Prerequisite: LING311.
Examines language acquisition in infancy and
early childhood: the nature of children's
linguistic representations and how these
develop naturally. Role of (possible) innate
linguistic structure and interaction of such
structure with experience. Evaluation of
methods and results of current and classic
research leading to contemporary models of
language development.
LING 449 Topics in
Psycholinguistics (3 credits)
Prerequisite: LING311 and LING321 or
permission of department. Repeatable to 6
credits if content differs .
Critical evaluation of primary research in
psycholinguistics. Relating theoretical
hypotheses to experimental hypotheses and
predictions. Evaluation of experimental
results. Emphasis on hands-on experience and
experimental methodologies. Specific topics
vary.
LING 451 Grammars and
Variation (3 credits)
Prerequisite: LING311.
Grammars and the use of language in a variety
of styles: formal, casual, literary, etc.
Consequences for concepts of grammars.
Variation theory. Literary styles.
LING 453 Mathematical
Approaches to Language (3
credits)
Prerequisite: LING312.
The aspects of mathematics used in linguistic
discussions: recursion theory, Chomsky's
hierarchy of grammars, set theory, Boolean
algebra, finite state grammars, context-free
grammars, etc. Applications to theories of
grammars. Formalizations of grammatical
theories.
LING 455 Second Language
Acquisition (3 credits)
Prerequisite: LING311.
Examines second language acquisition from
the perspective of Chomsky's 'Universal
Grammar'. Relationship between theories of
grammars, first language acquisition by
children and the learning of second languages
by adults.
LING 460 Diversity and Unity in
Human Languages (3 credits)
Prerequisite: LING200 or LING240.
Fundamentals of grammatical typology as they
relate to issues in social attitudes towards
language. Linguistic structure of standard and
non-standard languages and dialects.
Relationship of different writing systems to
linguistic structure. Issues in bilingualism and
multilingualism.
LING 487 Computer Science for
Cognitive Studies (3 credits)
Also offered as PHIL487. Credit will be
granted for only one of the following:
LING487orPHIL487.
List processing and discrete mathematics.
Preparation for the study of artificial
intelligence and other mathematically oriented
branches of cognitive studies. Intended for
students of linguistics, philosophy, and
psychology. LISP computer language, graphs
and trees, the concept of computational
complexity, search algorithms.
LING 499 Directed Studies in
Linguistics (1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Independent study or research on language
under the supervision of a faculty member.
LING 610 Syntactic Theory (3
credits)
Prerequisite: LING 312.
Intensive introduction to transformational
syntax.
LING 611 Issues in Syntax (3
credits)
Prerequisite: LING 610.
Topics of current theoretical interest examined
through data from a variety of languages.
LING 617 Comparative Grammar
and Psycho-Linguistics (3
credits)
Prerequisite: LING610. Recommended:
LING640.
Techniques of grammatical analysis and shows
how selecting the right grammatical
phenomenon is critical for asking the right
questions in processing/acquisition and how
results using psycho-linguistic techniques can
be used to shed light on grammar evaluation.
LING 620 Phonological Theory
(3 credits)
Prerequisite: LING 322.
Topics in current phonological theory, as they
relate to data from various languages.
Segmental and prosodic analysis.
Autosegmental theory, metrical theory, etc.
LING 621 Issues in Phonology (3
credits)
Prerequisite: LING 620.
Topics of current interest in phonological
theory examined through data from several
languages.
LING 625 Morphology and the
Lexicon (3 credits)
The structure of words and investigation of
how word formation processes interact with
other components of grammar.
LING 630 Diachronic Linguistics
(3 credits)
The ways in which grammars may change
from generation to generation and the
relevance of such changes for theories of the
human linguistic capacity. Consideration of
traditional work on historical change.
LING 640 Psycholinguistics (3
credits)
Prerequisite: permission of instructor.
Core graduate course in psycholinguistics,
covering leading theoretical approaches and
experimental methods in language acquisition,
language processing, and neuro linguistics.
LING 641 Issues in
Psycholinguistics (3 credits)
Prerequisite: LING 640.
Topics of current interest in psycholinguistics,
including both theoretical approaches and
experimental and analytical issues in language
acquisition, language processing, and
neuro linguistics.
LING 644 Language Acquisition
(3 credits)
Prerequisite: LING 640.
Interpretations of observational and
experimental work on children's language
development, and relationship between
developmental stages and theories of human
language faculties.
LING 645 Introduction to
Computational Linguistics (3
credits)
Prerequisite: permission of instructor. Also
offered as CMSC 723.
Introduction to statistical and symbolic
approaches to Computational Linguistics.
477
Automatic methods for tasks involving human
language understanding, production or
learning.
LING 646 Cognitive
Neuroscience of Language (3
credits)
Three hours of lecture per week.
Prerequisite: permission of instructor.
Overview of classical and recent work on the
neural basis of speech and language, with a
goal of introducing contemporary methods and
results to prepare the student to read the
neuro linguistics and cognitive neuroscience
literature. An emphasis will be placed on
current techniques.
LING 647 Computational
Linguistics II (3 credits)
Prerequisite: LING 645 or permission of
instructor.
Further exploration of statistical and symbolic
techniques in computational linguistics.
LING 650 History of Linguistics
(3 credits)
Prerequisite: LING 312.
Different ways in which people have thought
about language. Cartesian and neogrammarian
theories. Development of the generative
research program.
LING 658 History of a Language
(3 credits)
Repeatable to 6 credits if content differs.
Detailed examination of the history of a single
language or language family.
LING 659 Structure of a
Language (3 credits)
Repeatable to 6 credits if content differs.
Detailed examination of a particular language
or language family.
LING 660 Introduction to
Semantics (3 credits)
Prerequisite: permission of instructor.
Basic concepts and methods of contemporary
semantic theory including basic set theory,
elementary propositional and predicate
calculus, the structure of predicates and
propositions, quantification binding. Prepares
students for study of more advanced topics in
semantics.
LING 661 Issues in Semantics (3
credits)
Prerequisite: LING 660 or permission of
department.
A second course in semantic theory.
Application of basic concepts and methods to
topics of current theoretical interest.
LING 689 Independent Study (1-
3 credits)
Prerequisite: permission of instructor. For
LING majors only. Repeatable to 6 credits
if content differs.
Independent studies in grammatical theory.
LING 698 Directed Study (1-3
credits)
Repeatable to 6 credits if content differs.
LING 723 Computational
Linguistics I (3 credits)
Prerequisite: CMSC421 or equivalent; or
permission of instructor. Also offered as
CMSC723. Not open to students who have
completed LING645. Formerly LING645.
Fundamental methods in natural language
processing. Topics include: finite-state
methods, context-free and extended context-
free models of syntax; parsing and semantic
interpretation; n-gram and Hidden Markov
models, part-of- speech tagging; natural
language applications such as machine
translation, automatic summarization, and
question answering.
LING 773 Computational
Linguistics II (3 credits)
Prerequisite: LING723 or CMSC723; or
permission of instructor. Also offered as
CMSC773. Not open to students who have
completed LING647. Formerly LING647.
Natural language processing with a focus on
corpus-based statistical techniques. Topics
include: stochastic language modeling,
smoothing, noisy channel models,
probabilistic grammars and parsing; lexical
acquisition, similarity-based methods, word
sense disambiguation, statistical methods in
NLP applications; system evaluation.
LING 798 Research Papers in
Linguistics (1-6 credits)
Prerequisites: LING 61 1 and LING 621.
Repeatable to 6 credits if content differs.
LING 799 Master's Thesis
Research (1-6 credits)
LING 819 Seminar in Syntactic
Theory (3 credits)
Prerequisite: LING 611. Repeatable to 6
credits if content differs.
Current topics in research on syntactic theory.
LING 829 Seminar in
Phonological Theory (3 credits)
Prerequisite: LING 621. Repeatable to 6
credits if content differs.
Current topics in research on phonology and
morphology.
LING 839 Seminar in Language
Change (3 credits)
Prerequisite: LING 630. Repeatable to 6
credits if content differs .
Topics in research on historical change in
language.
LING 848 Seminar in
Computational Linguistics (3
credits)
Prerequisite: LING 645 or permission of
instructor.
Current topics in research in computational
linguistics.
LING 849 Seminar in
Psycholinguistics (3 credits)
Prerequisite: LING 640, LING 641 or
permission of instructor. Repeatable to 6
credits if content differs.
Current topics in research on
psycholinguistics.
LING 859 Seminar in Language
Acquisition (3 credits)
Prerequisite: LING 640, LING 641, or
permission of department. Repeatable to 06
credits if content differs. Credit will be
granted for only one of the following:
LING 859 or LING 889A. Formerly
LING889A.
Current topics in research on language
acquisition.
LING 869 Seminar in
Neurolinguistics (3 credits)
Prerequisite: LING 640, LING 641, or
permission of department. Repeatable to 06
credits if content differs. Credit will be
granted for only one of the following:
LING 869 or LING 889A. Formerly
LING889A.
Current topics in research on neurolinguistics.
LING 879 Seminar in Semantics
(3 credits)
Prerequisite: LING 660 or LING 661.
Repeatable to 09 credits if content differs.
Formerly LING889.
Current topics in research in semantics.
LING 889 Directed Research (1-8
credits)
LING 895 Doctoral Research
Paper (6 credits)
LING 896 Research Paper in
Minor Area (3 credits)
Prerequisite: LING 895.
This course is designed to strengthen the
students' ability to do research in a minor area
of expertise, and to help them create a
publishable piece. In addition, the course
constitutes part of a set of requirements to
advance to doctoral candidacy.
LING 898 Pre-Candidacy
Research (1-8 credits)
LING 899 Doctoral Dissertation
Research (1-8 credits)
Masters in the
Mathematics of
Advanced Industrial
Tech (MAIT)
MAIT 613 Advanced Applied
Linear Algebra (3 credits)
Prerequisite: Knowledge of basic linear
algebra and computation or permission of
instructor,
Tools and techniques of computational linear
algebra for applications. Topics include: linear
systems and least squares problems, error
analysis, accuracy and stability, matrix
decompositions, iterative solvers, Krylov
subspace methods, symmetric and non-
symmetric eigenvalue problems, singular
value decomposition.
MAIT 615 Quantum Information,
Detection, and Computation (3
credits)
Introduction to information processing tasks
implemented on fundamentally quantum
mechanical systems. Topics include
background physics, mathematics, and
information theory, quantum cryptography,
teleportation, super-dense coding, quantum
computation, Shor's algorithm, quantum error
correction, quantum limits in detection and
estimation.
MAIT 623 Modern Mathematical
Methods of Signal and Image
Processing I (3 credits)
Prerequisite: Knowledge of advanced
calculus and applications or permission of
instructor.
Introduction to current signal/image
processing techniques, including wavelets and
frames, in the context of applied and
numerical harmonic analysis. Topics include
time-frequency and time-scale representations,
sub-band filterbanks, and applications to
compression and de noising.
MAIT 624 Modern Mathematical
Methods of Signal and Image
478
Processing II (3 credits)
Prerequisite: MAIT623 or permission of
instructor.
Advanced studies of state of the art
signal/image processing using
applied/numerical harmonic analysis. Topics
include stable signal representation and
erasure channel problems, 2nd- gene ration
wavelets, geometric sub-division schemes for
multi-dimensional problems, level set
approaches, estimation and analysis of sensor
data, and non-uniform sampling methods.
MAIT 626 Statistical Pattern
Recognition and Classification
(3 credits)
Mathematical and statistical tools for decision
making based on categorization of patterns
present in data. Topics include regression,
feature extraction, dimensionality reduction,
parametric and non- parametric approaches to
decision, estimation, and classification
problems.
MAIT 627 Fast Multipole
Methods (3 credits)
Introduction to the fast multipole method, a
matrix compression computational scheme
analyzing wide classes of structured operators
arising in physics, data analysis, and
visualization. Topics include: single and multi-
level FMM, iterative solvers, non-uniform
interpolation schemes, Fast Gauss Transform,
solutions of Laplace and Helmhotz equations.
MAIT 633 Applied Fourier
Analysis (3 credits)
Prerequisite: Knowledge of advanced
calculus or permission of instructor.
Theory, practice, and implementation (e.g.
MATLAB) of Fourier analysis with
applications in signal processing. Topics
include the Fourier transform for periodic and
non-periodic functions in continuous and
discrete time, generalized functions, sampling
theorems, fast computational algorithms for
transforms and convolutions, filterbanks and
multirate systems.
MAIT 660 Scientific Computing
for Advanced Industrial
Mathematics (3 credits)
Data analysis, signal and image processing
with control, non-traditional mathematical
modeling, Fourier and wavelet transform
methods, second generation wavelets for
graphics, inverse problems and scattering.
Fundamental techniques in scientific
computation with an introduction to the theory
and software of each topic.
MAIT 679 Special Topics in
Mathematics of Advanced
Industrial Technology (3
credits)
Special topics courses are intended to expose
students to the latest developments in
mathematical applications. As such, the
content will vary depending on the instructor
and the current state-of-the-art. 679 will
appear with a letter appended to distinguish
different topics. New 679 courses will be
added as areas of interest arise.
MAIT 699 Independent Masters
Project (1-3 credits)
Permission of instructor. Repeatable to 12
credits if content differs .
This course allows students to apply advanced
mathematical methods to practical, real-world
problems. Projects are supervised individually
by faculty members from the MAIT Program.
The project's nature is flexible and determined
jointly by the student and supervisor. A
detailed final report must be prepared by the
student and approved by the supervisor.
Mathematics (MATH)
MATH 400 Vectors and Matrices
(3 credits)
Prerequisite: MATH221 or equivalent. Not
open to students in the CMPS or
Engineering Colleges. Credit will be
granted for only one of the following:
MATH240, MATH341, MATH400, or
MATH461.
The essentials of matrix theory needed in the
management, social and biological sciences.
Main topics: systems of linear equations,
linear independence, rank, orthogonal
transformations, eigenvalues, the principal
axes theorem. Typical applications: linear
models in economics and in statistics, Markov
chains, age-specific population growth.
MATH 401 Applications of
Linear Algebra (3 credits)
Prerequisite: MATH240 orMATH461.
Various applications of linear algebra: theory
of finite games, linear programming, matrix
methods as applied to finite Markov chains,
random walk, incidence matrices, graphs and
directed graphs, networks and transportation
problems.
MATH 402 Algebraic Structures
(3 credits)
Prerequisite: MATH240 or equivalent. Not
open to mathematics graduate students.
Credit will be granted for only one of the
following: MATH402 or MATH403.
For students having only limited experience
with rigorous mathematical proofs. Parallels
MATH403. Students planning graduate work
in mathematics should take MATH403.
Groups, rings, integral domains and fields,
detailed study of several groups; properties of
integers and polynomials. Emphasis is on the
origin of the mathematical ideas studied and
the logical structure of the subject.
MATH 403 Introduction to
Abstract Algebra (3 credits)
Prerequisite: MATH240 and MATH241; or
equivalent. Credit will be granted for only
one of the following: MATH402 or
MATH403.
Integers; groups, rings, integral domains,
fields.
MATH 404 Field Theory (3
credits)
Prerequisite: MATH403.
Algebraic and transcendental elements, Galois
theory, constructions with straight-edge and
compass, solutions of equations of low
degrees, insolubility of the quintic equation,
Sylow theorems, fundamental theorem of
finite Abelian groups.
MATH 405 Linear Algebra (3
credits)
Prerequisite: MATH240 orMATH461.
An abstract treatment of finite dimensional
vector spaces. Linear transformations and their
invariants.
MATH 406 Introduction to
Number Theory (3 credits)
Prerequisite: MATH141 or permission of
department.
Integers, divisibility, prime numbers, unique
factorization, congruences, quadratic
reciprocity, Diophantine equations and
arithmetic functions.
MATH 410 Advanced Calculus I
(3 credits)
Prerequisites: MATH240 and MATH241,
with grade of C or better; and permission of
department.
First semester of a year course. Subjects
covered during the year are: sequences and
series of numbers, continuity and
differentiability of real valued functions of one
variable, the Riemann integral, sequences of
functions and power series. Functions of
several variables including partial derivatives,
multiple integrals, line and surface integrals.
The implicit function theorem.
MATH 411 Advanced Calculus II
(3 credits)
Prerequisite: MATH410 and permission of
department. Credit will be granted for only
one of the following: MATH411 or
MATH412.
Continuation of MATH410.
MATH 412 Advanced Calculus
with Applications (3 credits)
Prerequisite: MATH410 and permission of
department. Credit will be granted for only
one of the following: MATH411 or
MATH412.
Analysis in several variables, and applications,
from a computational perspective.
MATH 414 Differential Equations
(3 credits)
Prerequisites: MATH410 and MATH240;
or equivalent.
Existence and uniqueness theorems for initial
value problems. Linear theory: fundamental
matrix solutions, variation of constants
formula, Floquet theory for periodic linear
systems. Asymptotic orbital and Lyapunov
stability with phase plane diagrams. Boundary
value theory and series solutions.
MATH 416 Applied Harmonic
Analysis: An Introduction to
Signal Processing (3 credits)
Prerequisite: MATH141 and MATH240; or
permission of department. Familiarity with
MATLAB is also required.
Introduces students to the mathematical
concepts arising in signal analysis from the
applied harmonic analysis point of view.
Topics include applied linear algebra, Fourier
series, discrete Fourier transform, Fourier
transform, Shannon Sampling Theorem,
wavelet bases, multire solution analysis, and
discrete wavelet transform.
MATH 420 Mathematical
Modeling (3 credits)
Prerequisite: MATH241, MATH246,
STAT400, MATH240 or MATH461; and
permission of department. Also offered as
AMSC420. Credit will be granted for only
one of the following: AMSC420,
MAPL420, orMATH420.
The course will develop skills in mathematical
modeling through practical experience.
Students will work in groups on specific
projects involving real-life problems that are
accessible to their existing mathematical
backgrounds. In addition to the development
of mathematical models, emphasis will be
placed on the use of computational methods to
investigate these models, and effective oral
and written presentation of the results.
479
MATH 424 Introduction to the
Mathematics of Finance (3
credits)
Prerequisites: MATH 141; and either
STAT400 or BMGT231 and permission of
department. Recommended: MATH240,
MATH241, or MATH246. Credit will be
granted for only one of the following:
BMGT444, MATH424 orMATH498F.
Formerly MATH498F.
Introduction to the mathematical models used
in finance and economics with emphasis on
pricing derivative instruments. Designed for
students in mathematics, computer science,
engineering, finance and physics. Financial
markets and instruments; elements from basic
probability theory; interest rates and present
value analysis; normal distribution of stock
returns; option pricing; arbitrage pricing
theory; the multiperiod binomial model; the
Black-Scholes option pricing formula; proof of
the Black-Scholes option pricing formula and
applications; trading and hedging of options;
Delta hedging; utility functions and portfolio
theory; elementary stochastic calculus; Ito's
Lemma; the Black-Scholes equation and its
conversion to the heat equation.
MATH 430 Euclidean and Non-
Euclidean Geometries (3
credits)
Prerequisite: MATH 141.
Hilbert's axioms for Euclidean geometry.
Neutral geometry: the consistency of the
hyperbolic parallel postulate and the
inconsistency of the elliptic parallel postulate
with neutral geometry. Models of hyperbolic
geometry. Existence and properties of
isome tries.
MATH 431 Geometry for
Computer Graphics (3 credits)
Prerequisite: MATH240 orMATH461.
Topics from projective geometry and
transformation geometry, emphasizing the
two-dimensional representation of three-
dimensional objects and objects moving about
in the plane and space. The emphasis will be
on formulas and algorithms of immediate use
in computer graphics.
MATH 432 Introduction to
Topology (3 credits)
Prerequisite: MATH410 or equivalent.
Metric spaces, topological spaces,
connectedness, compactness (including Heine-
Borel and Bolzano -We iers trass theorems),
Cantor sets, continuous maps and
homeomorphisms, fundamental group
(homotopy, covering spaces, the fundamental
theorem of algebra, Brouwer fixed point
theorem), surfaces (e.g., Euler characteristic,
the index of a vector field, hairy sphere
theorem), elements of combinatorial topology
(graphs and trees, planarity, coloring
problems).
MATH 436 Differential Geometry
of Curves and Surfaces I (3
credits)
Prerequisites: MATH241; and either
MATH240 or MATH461 ; and two 400-
level MATH courses (not including
MATH400,461 and 478).
Curves in the plane and Euclidean space,
moving frames, surfaces in Euclidean space,
orientability of surfaces; Gaussian and mean
curvatures; surfaces of revolution, ruled
surfaces, minimal surfaces, special curves on
surfaces, "Theorema Egregium"; the intrinsic
geometry of surfaces.
MATH 437 Differential Forms (3
credits)
Prerequisite: MATH241; and either
MATH240 or MATH461. Recommended:
One of the following - MATH403,
MATH405, MATH410, MATH432, or
MATH436.
Introduction to differential forms and their
applications, and unites the fundamental
theorems of multivariable calculus in a general
Stokes Theorem that is valid in great
generality. It develops this theory and
technique to perform calculations in analysis
and geometry. Topics include an introduction
to topological spaces, the Gauss-Bonnet
Theorem, Gauss's formula for the linking
number, and the Cauchy Integral Theorem.
Applications include Maxwell's equations of
electromagnetism, connections and gauge
theory, and symplectic geometry and
Hamiltonian dynamics.
MATH 445 Elementary
Mathematical Logic (3 credits)
Prerequisite: MATH 141. Credit will be
granted for only one of the following:
MATH445 or MATH450/CMSC450.
Elementary development of prepositional and
predicate logic, including semantics and
deductive systems and with a discussion of
completeness, incompleteness and the decision
problem.
MATH 446 Axiomatic Set Theory
(3 credits)
Prerequisite: MATH403 orMATH410.
Development of a system of axiomatic set
theory, choice principles, induction principles,
ordinal arithmetic including discussion of
cancellation laws, divisibility, canonical
expansions, cardinal arithmetic including
connections with the axiom of choice, Hartog's
theorem, Konig's theorem, properties of
regular, singular and inaccessible cardinals.
MATH 452 Introduction to
Dynamics and Chaos (3 credits)
Prerequisite: MATH240 and MATH246.
Also offered as AMSC452. Credit will be
granted for only one of the following:
AMSC452, MAPL452 or MATH452.
An introduction to mathematical dynamics and
chaos. Orbits, bifurcations, Cantor sets and
horseshoes, symbolic dynamics, fractal
dimension, notions of stability, flows and
chaos. Includes motivation and historical
perspectives, as well as examples of
fundamental maps studied in dynamics and
applications of dynamics.
MATH 456 Cryptology (3
credits)
Prerequisites: Any two 400-level MATH
courses; or CMSC330 and CMSC351 and
permission of department. Also offered as
CMSC456. Credit will be granted for only
one of the following: MATH456 or
CMSC456.
Importance in protecting data in
communications between computers. The
subject lies on the border between
mathematics and computer science.
Mathematical topics include number theory
and probability. Computer science topics
include complexity theory.
MATH 461 Linear Algebra for
Scientists and Engineers (3
credits)
Prerequisites: MATH141 and one
MATH/STAT course for which MATH141
is a prerequisite. This course cannot be
used toward the upper level math
requirements for MATH/STAT majors.
Credit will be granted for only one of the
following: MATH240, MATH341,
MATH400 or MATH461.
Basic concepts of linear algebra. This course is
similar to MATH 240, but with more
extensive coverage of the topics needed in
applied linear algebra: change of basis,
complex eigenvalues, diagonalization, the
Jordan canonical form.
MATH 462 Partial Differential
Equations for Scientists and
Engineers (3 credits)
Prerequisites: MATH241 and MATH246.
Linear spaces and operators, orthogonality,
Sturm-Liouville problems and eigenfunction
expansions for ordinary differential equations.
Introduction to partial differential equations,
including the heat equation, wave equation and
Laplace's equation. Boundary value problems,
initial value problems and initial-boundary
value problems.
MATH 463 Complex Variables
for Scientists and Engineers (3
credits)
Prerequisite: MATH241 or equivalent.
The algebra of complex numbers, analytic
functions, mapping properties of the
elementary functions. Cauchy integral
formula. Theory of residues and application to
evaluation of integrals. Conformal mapping.
MATH 464 Transform Methods
for Scientists and Engineers (3
credits)
Prerequisite: MATH246.
Fourier transform, Fourier series, discrete fast
Fourier transform (DFT and FFT). Laplace
transform. Poisson summations, and sampling.
Optional Topics: Distributions and operational
calculus, PDEs, Wavelet transform, Radon
transform and applications such as Imaging,
Speech Processing, PDEs of Mathematical
Physics, Communications, Inverse Problems.
MATH 470 Mathematics for
Secondary Education (3
credits)
Prerequisite: MATH140, MATH141, and
one 400-level Math course. Not open to
students who have completed MATH498E.
Credit will be granted for only one of the
following: MATH470 or MATH498E.
Formerly MATH498E.
An advanced perspective on some of the core
mathematics underlying high school
mathematics courses. Topics include number
systems, functions of one variable, equations,
inequalities, trigonometric functions, curve
fitting, and polynomials. The course includes
an analysis of alternate approaches to
mathematical ideas and problems, and makes
connections between ideas that may have been
studied separately in different high school and
college courses.
MATH 475 Combinatorics and
Graph Theory (3 credits)
Prerequisites: MATH240 and MATH241;
and permission of department. Also offered
as CMSC475. Credit will be granted for
only one of the following: MATH475 or
480
CMSC475.
General enumeration methods, difference
equations, generating functions. Elements of
graph theory, matrix representations of graphs,
applications of graph theory to transport
networks, matching theory and graphical
algorithms.
MATH 478 Selected Topics For
Teachers of Mathematics (1-3
credits)
Prerequisite: one year of college
mathematics or permission of department.
This course cannot be used toward the
upper level math requirements for
MATH/STAT majors.
MATH 480 Algebra for Middle
School Teachers (3 credits)
Restricted to middle school teachers. This
course cannot be used toward the upper
level math requirement for MATH and
STAT majors. Prerequisite: MATH214 or
equivalent. Not open to students who have
completed MATH498C. Credit will be
granted for only one of the following:
MATH480, MATH483, or MATH498C.
Formerly MATH498C.
Prepares teachers with elementary certification
to teach Algebra 1 in middle school. Focuses
on basic algebra concepts and related
theoretical ideas.
MATH 481 Statistics and Data
Analysis for Middle School
Teachers (3 credits)
Prerequisite: MATH214 or equivalent.
Course for middle school teachers. This
course cannot be used toward the upper
level math requirements for MATH/STAT
majors. Not open to students who have
completed MATH498B. Credit will be
granted for only one of the following:
MATH481, MATH498B, orMATH485.
Formerly MATH498B.
Prepares teachers with elementary certification
to teach simple data analysis and probability in
middle school. Focuses on understanding basic
statistics, data analysis, and related theoretical
ideas.
MATH 482 Geometry for Middle
School Teachers (3 credits)
Prerequisite: MATH214 or equivalent.
Course for middle school teachers. This
course cannot be used toward the upper
level math requirements for MATH/STAT
majors. Senior standing. Not open to
students who have completed MATH498E.
Credit will be granted for only one of the
following: MATH482, MATH484, or
MATH498E.
Prepares teachers with elementary certification
to teach geometry in middle school. Focuses
on understanding basic geometry concepts and
related theoretical ideas.
MATH 483 Algebra for School
Teachers (3 credits)
Prerequisite: MATH141 or equivalent.
Cannot be used toward the upper level
math requirements for MATH/STAT
majors. Senior standing. Not open to
students who have completed MATH498C.
Credit will be granted for only one of the
following: MATH498C, MATH483, or
MATH480. Formerly MATH498C.
Focuses on concepts related to algebra and
trigonometry, including functions, equations,
inequalities, and data analysis. Assumes a
good understanding of calculus.
MATH 484 Geometry for High
School Teachers (3 credits)
Prerequisite: MATH141 or equivalent.
Cannot be used toward the upper level
math requirement for MATH/STAT
majors. Senior standing. Not open to
students who have completed MATH498E.
Credit will be granted for only one of the
following: MATH482, MATH484, or
MATH498E. Formerly MATH498E.
Focuses on concepts related to geometry,
including several geometry axiom schemes,
transformations, and similarity. Includes
constructions with Geometer's Sketchpad.
MATH 485 Statistics for High
School Teachers (3 credits)
Prerequisite: MATH141 or equivalent.
Cannot be used toward the upper level
math requirements for MATH/STAT
majors. Not open to students who have
completed MATH498S. Credit will be
granted for only one of the following:
MATH481, MATH485, or MATH498S.
Formerly MATH498S.
Focuses on concepts related to statistics and
data analysis, including probability, sampling,
distribution of data, and inference.
MATH 486 Calculus for High
School Teachers (3 credits)
Prerequisite: MATH141 or equivalent.
Cannot be used toward the upper level
math requirements for MATH/STAT
majors.
Focuses on concepts related to one-variable
calculus including limits, continuity,
derivative, integrals, series, and applications of
these topics.
MATH 489 Research
Interactions in Mathematics (1-3
credits)
Prerequisite: permission of department.
Repeatable to 10 credits if content differs.
Students participate in a vertically integrated
(undergraduate, graduate and/or postdoctoral,
faculty) mathematics research group. Format
varies. Students and supervising faculty will
agree to a contract which must be approved by
the department. Up to three credits of
MATH489 may be applied to the mathematics
degree requirements. See the department's
MATH489 online syllabus for further
information.
MATH 498 Selected Topics in
Mathematics (1-9 credits)
Honors students register for reading
courses under this number. Repeatable to 9
credits if content differs .
Topics of special interest to advanced
undergraduate students will be offered
occasionally under the general guidance of the
departmental committee on undergraduate
studies.
MATH 499 Honors Seminar (2
credits)
Prerequisite: permission of department. Not
open to graduate students. Formerly
MATH398.
Faculty supervised reports by students on
mathematical literature. Both oral and written
presentation on special topics of current
interest.
MATH 598 Topics for Teachers
Workshops (1-3 credits)
Prerequisite: current status as school
teacher or permission of instructor.
Workshops offered to school teachers for
enrichment in various topics in modern
mathematics.
MATH 600 Abstract Algebra I (3
credits)
Prerequisite: MATH 403 and MATH405;
or equivalent.
Groups with operators, homomorphism and
isomorphism theorems, normal series, Sylow
theorems, free groups, Abelian groups, rings,
integral domains, fields, modules. Topics may
include HOM (A,B), Tensor products, exterior
algebra.
MATH 601 Abstract Algebra II (3
credits)
Prerequisite: MATH 600.
Field theory, Galois theory, multilinear
algebra. Further topics from: Dedekind
domains, Noetherian domains, rings with
minimum condition, homological algebra.
MATH 602 Homological Algebra
(3 credits)
Prerequisite: MATH 600.
Projective and injective modules, homological
dimensions, derived functors, spectral
sequence of a composite functor. Applications.
MATH 603 Commutative Algebra
(3 credits)
Prerequisite: MATH 600.
Ideal theory of Noetherian rings, valuations,
localizations, complete local rings, Dedekind
domains.
MATH 606 Algebraic Geometry I
(3 credits)
Prerequisite: MATH 600 and MATH 601 .
Prime and primary ideals in Noetherian rings,
Hilbert Nullstellensatz, places and valuations,
prevarieties (in the sense of Serre), dimension,
morphisms, singularities, varieties, schemes,
rationality.
MATH 607 Algebraic Geometry II
(3 credits)
Prerequisite: MATH 606.
Topics in contemporary algebraic geometry
chosen from among: theory of algebraic
curves and surfaces, elliptic curves, Abelian
varieties, theory of schemes, theory of zeta
functions, formal cohomology, algebraic
groups, reduction theory.
MATH 608 Selected Topics in
Algebra (1-3 credits)
Prerequisite: permission of instructor.
MATH 620 Algebraic Number
Theory I (3 credits)
Prerequisite: MATH 601.
Algebraic numbers and algebraic integers,
algebraic number fields of finite degree, ideals
and units, fundamental theorem of algebraic
number theory, theory of residue classes,
Minkowski's theorem on linear forms, class
numbers, Dirichlet's theorem on units, relative
algebraic number fields, decomposition group,
inertia group and ramification group of prime
ideals with respect to a relatively Galois
extension.
MATH 621 Algebraic Number
Theory II (3 credits)
Prerequisites: MATH 600; and MATH 620
or equivalent.
Valuation of a field, algebraic function fields,
481
completion of a valuation field, ramification
exponent and residue class degree,
ramification theory, elements, differents,
discriminants, product formula and
characterization of fields by the formula,
Gauss sum, class number formula of
cyclotomic fields.
MATH 630 Real Analysis I (3
credits)
Prerequisite: MATH 41 1 or equivalent.
Lebesgue measure and the Lebesgue integral
on R, differentiation of functions of bounded
variation, absolute continuity and fundamental
theorem of calculus, Lp spaces on R, Riesz-
Fischer theorem, bounded linear functionals
on Lp, measure and outer measure, Fubini's
theorem.
MATH 631 Real Analysis II (3
credits)
Prerequisite: MATH 630.
Abstract measure and integration theory,
metric spaces, Baire category theorem and
uniform boundedness principle, Radon-
Nikodym theorem, Riesz Representation
theorem, Lebesgue decomposition, Banach
and Hilbert Spaces, Banach- Steinhaus
theorem, topological spaces, Arzela-Ascoli
and Stone -We iers trass theorems, compact sets
and Tychonoff s theorem.
MATH 632 Functional Analysis
(3 credits)
Prerequisite: MATH 631.
Introduction to functional analysis and
operator theory: normed linear spaces, basic
principles of functional analysis, bounded
linear operators on Hilbert spaces, spectral
theory of selfadjoint operators, applications to
differential and integral equations, additional
topics as time permits.
MATH 634 Harmonic Analysis (3
credits)
Prerequisite: MATH 631.
LI theory: Fejer theorem, inversion theorem,
ideal structure, Tauberian theorem. L2 theory:
Plane here 1-Parseval theorems, Paley-Wiener
theorem. Lp theory: Hausdorff- Young
theorem. Distribution theory: Bochner's
theorem, Wiener continuous measures
theorem, Malliavin theorem, Schwartz theory,
almost periodic functions.
MATH 636 Representation
Theory (3 credits)
Prerequisite: MATH 631.
Introduction to representation theory of Lie
groups and Lie algebras; initiation into non-
abelian harmonic analysis through a detailed
study of the most basic examples, such as
unitary and orthogonal groups, the Heisenberg
group, Euclidean motion groups, the special
linear group. Additional topics from the theory
of nilpotent Lie groups, semisimple Lie
groups, p-adic groups or C*-algebras.
MATH 642 Dynamical Systems I
(3 credits)
Prerequisites: MATH 432; and MATH 630
or equivalent.
Foundations of topological dynamics,
homeomorphisms, flows, periodic and
recurrent points, transitivity and minimality,
symbolic dynamics. Elements of ergodic
theory, invariant measures and sets, ergodicity,
ergodic theorems, mixing, spectral theory,
flows and sections. Applications of dynamical
systems to number theory, the Weyl theorem,
the distribution of values of polynomials,
Vander Waerden's theorem on arithmetic
progressions.
MATH 643 Dynamical Systems II
(3 credits)
Prerequisite: MATH 642 or equivalent.
Entropy theory, variational principle for the
entropy, expansiveness, measures with
maximal entropy. Smooth systems on
manifolds, diffeomorphisms and flows,
periodic points, stable and unstable manifolds,
homoclinic points, transversality, the Krupka-
Smale theorem, Morse-Smale systems.
Hyperbohcity, Anosov systems, distributions
and foliations, strange attractors, Bowen's
measure.
MATH 648 Selected Topics in
Analysis (1-3 credits)
Prerequisite: permission of instructor.
MATH 660 Complex Analysis I (3
credits)
Prerequisite: MATH 410 or equivalent.
Linear transformations, analytic functions,
conformal mappings, Cauchy's theorem and
applications, power series, partial fractions and
factorization, elementary Riemann surfaces,
Riemann's mapping theorem.
MATH 661 Complex Analysis II
(3 credits)
Prerequisites: MATH 630; and MATH
660.
Topics in conformal mappings, normal
families, Picard's theorem, classes of univalent
functions, extremal properties, variational
methods, elliptic functions, Riemann surfaces.
MATH 668 Selected Topics in
Complex Analysis (1-3 credits)
Repeatable if content differs.. Prerequisite:
permission of instructor.
Material selected to suit interests and
background of the students. Typical topics:
Kaehler geometry, automorphic functions,
several complex variables, symmetric spaces.
MATH 669 Selected Topics in
Riemann Surfaces (1-3 credits)
Prerequisite: permission of instructor.
Repeatable if content differs.
Construction of Riemann surfaces, hyperbolic
geometry, Fuchsian and Kleinian groups,
potential theory, uniformisation spaces of
meromorphic functions, line bundles, Picard
variety, Riemann-Roch, Teichmueller theory.
MATH 670 Ordinary Differential
Equations I (3 credits)
Prerequisites: MATH 405; and MATH 410
or the equivalent. Also offered as AMSC
670. Credit will be granted for only one of
the following: AMSC 670, MAPL 670 OR
MATH 670.
Existence and uniqueness, linear systems
usually with Floquet theory for periodic
systems, linearization and stability, planar
systems usually with Poincare-Bendixson
theorem.
MATH 671 Ordinary Differential
Equations II (3 credits)
Prerequisites: MATH630; and
AMSC/MATH/MAPL670 or equivalent.
Also offered as AMSC671 . Credit will be
granted for only one of the following:
AMSC67 1 , MAPL67 1 or MATH67 1 .
The content of this course varies with the
interests of the instructor and the class.
Stability theory, control, time delay systems,
Hamiltonian systems, bifurcation theory, and
boundary value problems, and the like.
MATH 673 Partial Differential
Equations I (3 credits)
Prerequisite: MATH 41 1 or equivalent.
Also offered as AMSC 673. Credit will be
granted for only one of the following:
AMSC 673, MAPL 673 or MATH 673.
Analysis of boundary value problems for
Laplace's equation, initial value problems for
the heat and wave equations. Fundamental
solutions, maximum principles, energy
methods. First order nonlinear PDE,
conservation laws. Characteristics, shock
formation, weak solutions. Distributions,
Fourier transform.
MATH 674 Partial Differential
Equations II (3 credits)
Prerequisite: AMSC/MATH/MAPL673 or
permission of instructor. Also offered as
AMSC674. Credit will be granted for only
one of the following: AMSC674,
MAPL674 or MATH674.
Boundary value problems for elliptic partial
differential equations via operator -theoretic
methods. Hilbert spaces of functions. Duality,
weak convergence. Sobolev spaces. Spectral
theory of compact operators. Eigenfunction
expansions.
MATH 687 Minicourse Series in
the Mathematical Sciences (1
credits)
Also offered as AMSC687 and STAT687.
Credit will be granted for only one of the
following: AMSC687, MATH687 or
STAT687.
This series will consist of up to sixteen 3-
lecture presentations covering a broad range of
topics in the mathematical sciences. Each
minicourse is intended to be self-contained
and accessible to first year graduate students
and advanced undergraduates. The goal of
each minicourse is to present an active
research area or significant result and the
necessary vocabulary and perspective for
students to appreciate it. The goal of the
Minicourse Series is to broaden a student's
awareness of the mathematical sciences and to
inform them of research directions.
MATH 689 Research
Interactions in Mathematics (1-3
credits)
Prerequisite: consent of the instructor.
Repeatable to 06 credits if content differs.
The students participate in a vertically
integrated (undergraduate, graduate and/or
postdoctoral, faculty) research group. Format
varies, but includes regular meetings, readings
and presentations of material. See graduate
program's online syllabus or contact the
graduate program director for more
information.
MATH 695 Teaching Seminar (1
credits)
For MATH majors only.
A course intended for first year teaching
assistants. Topics include: everyday mechanics
of teaching; teaching methods and styles;
technology; course enrichment, diversity in the
classroom; sexual harassment; teacher-student
interactions; presentations by students.
MATH 712 Mathematical Logic I
(3 credits)
Sentential logic, first-order languages, models
and formal deductions. Basic model theory
482
including completeness and compactness
theorems, other methods of constructing
models, and applications such as non-standard
analysis.
MATH 713 Mathematical Logic II
(3 credits)
Prerequisite: MATH 712 or MATH 447.
Incompleteness and undecidability results of
Godel, Church, Tarski and others. Recursive
function. Basic proof theory and axiomatic set
theory.
MATH 718 Selected Topics in
Mathematical Logic (1-3 credits)
Prerequisite: permission of instructor.
MATH 730 Fundamental
Concepts of Topology (3
credits)
Prerequisites: MATH 410, and MATH 41 1,
and MATH 403; or equivalent.
Survey of basic point set topology,
fundamental group, covering spaces, Van
Kampen's theorem, simplicial complexes,
simplicial homology, Euler characteristics and
classification of surfaces.
MATH 734 Algebraic Topology
(3 credits)
Prerequisite: MATH 403 or equivalent.
Recommended: MATH 730.
Singular homology and cohomology, cup
products, Poincare duality, Eilenberg-Steenrod
axioms, Whitehead and Hurewicz theorems,
universal coefficient theorem, cellular
homology.
MATH 740 Riemannian
Geometry (3 credits)
Prerequisites: {MATH 405; and MATH
411 } or equivalent.
Manifolds, tangent vectors and differential
forms, Riemannian metrics, connections,
curvature, structure equations, geodesies,
completeness, immersions, tensor algebra, Lie
derivative.
MATH 742 Differential Topology
(3 credits)
Prerequisites: {MATH 410; and MATH
411 } or equivalent.
Inverse and implicit function theorems, Sard's
theorem, orientability, degrees, smooth vector
bundles, imbeddings and immersions,
trans vers ality approximation theorems and
applications, isotopy extension theorem,
tubular neighborhoods.
MATH 744 Lie Groups I (3
credits)
Prerequisite: MATH 403, MATH 405,
MATH 41 1 and MATH 432; or
equivalent.
An introduction to the fundamentals of Lie
groups, including some material on groups of
matrices and Lie algebras.
MATH 745 Lie Groups II (3
credits)
Prerequisite: MATH 744.
A continuation of Lie groups I in which some
of the following topics will be emphasized:
solvable Lie groups, compact Lie groups,
classifications of semi-simple Lie groups,
representation theory, homogeneous spaces.
MATH 748 Selected Topics in
Geometry and Topology (1-3
credits)
Prerequisite: permission of instructor.
MATH 799 Master's Thesis
Research (1-6 credits)
MATH 898 Pre-Candidacy
Research (1-8 credits)
MATH 899 Doctoral Dissertation
Research (1-8 credits)
Marine-Estuarine-
Environmental
Sciences (MEES)
MEES 498 Topics in Marine-
Estuarine-Environmental
Sciences (1-4 credits)
Lecture and/or laboratory series organized to
study a selected area of marine-estuarine-
environmental sciences not otherwise
considered in formal courses.
MEES 602 Scientific
Communication Techniques (1
credits)
Prerequisite: permission of instructor.
Credit will be granted for only one of the
following: MEES 602 or MEES 608S.
Formerly MEES608S.
An overview of techniques for platform, poster
and written scientific presentations. Emphasis
will be placed on oral presentation delivery,
proposal development, content organization
and audience perspective.
MEES 607 Quantitative Methods
in Environmental Sciences (3
credits)
Prerequisite: MATH220 and MATH221 or
equivalent calculus. Credit will be granted
for only one of the following: MEES 607
or MEES 698G. Formerly MEES698G.
Mathematical approaches and solutions (both
analytical and numerical) that cut across
environmental disciplines, and will introduce
analytical techniques.
MEES 608 Seminar in Marine-
Estuarine-Environmental
Sciences (1-2 credits)
Also offered as ANSC 608.
MEES 610 Land Margin
Interactions (4 credits)
Credit will be granted for only one of the
following: MEES610 or MEES698I.
Formerly MEES698I.
Broad overview of the components and
biogeochemistry of the coastal
zone (atmosphere, land, streams, wetlands,
estuaries) and the time and space scales on
which interactions occur between components.
Includes 4 h of classes per week with readings
from the literature, field trips, a term paper,
and a forum. Course is taught on the
Interactive Video Network.
MEES 611 Estuarine Systems
Ecology (3 credits)
Prerequisite: permission of instructor.
A broad systems perspective on the important
components and processes of estuarine
ecosystems, with quantitative and/or
mathematical treatment toward development
of representative models for estuarine
dynamics.
MEES 614 Landscape Ecology
(4 credits)
Three hours of lecture, one hour of
laboratory, and one hour of
discussion/recitation per week.
Prerequisite: permission of instructor.
Landscape ecology emphasizes spatial
patterning—its causes, development, and
importance for ecological processes. Students
will become familiar with concepts, methods,
and applications of landscape ecology through
reading classic and contemporary literature
representative of state-of-the-art research;
class lectures; completion of two projects
designed to provide "hands on" experience
with some of the quantitative methods and
tools; and completion of one independent
project.
MEES 621 Biological
Oceanography (4 credits)
Population and community ecology of
estuarine and marine systems; coastal and
estuarine processes are emphasized in the
context of oceans in general. Field and lab
trips required.
MEES 626 Environmental
Geochemistry I (3 credits)
Prerequisite: permission of instructor.
Recommended: physical chemistry. Credit
will be granted for only one of the
following: MEES 626 or MEES 698L.
Formerly MEES698L.
Brief overview of biogeochemical cycles;
fundamental aquatic chemistry that can be
applied to a variety of environmental systems.
MEES 627 Environmental
Geochemistry II (3 credits)
Prerequisite: MEES 626 or permission of
instructor. Credit will be granted for only
one of the following: MEES 627 or MEES
698K. Formerly MEES698K.
Detailed examination of aquatic geochemical
cycles, including inorganic and organic
geochemistry. Topics include global
biogeochemical cycles, estuarine cycling,
redox cycles, radiochemistry, stable isotope
biogeochemistry and sediment
biogeochemistry/diagenesis.
MEES 630 Benthic Ecology (3
credits)
Prerequisite: permission of instructor.
Recommended: MEES 621 and MEES
661.
A detailed analysis of physical and biological
factors structuring benthic animal and plant
communities.
MEES 631 Fish Ecology (3
credits)
Prerequisite: BIOM 401 or permission of
instructor.
Study of the interrelationships between
individuals, their communities and
environment. Explores the environmental
biology of fish, feeding ecology, energetics
and growth, population biology, reproduction
and life history, and population and
community interactions.
MEES 634 Introduction to
Bioenergetics and Population
Dynamics (3 credits)
Credit will be granted for only one of the
following: MEES 634 or MEES 698C.
Formerly MEES698C.
Bioenergetic and population dynamic
processes as the individual and population
levels; introduction to thermodynamic and
bioenergetic principals that underlie patterns
of energy partitioning in aquatic animals, the
sources and fates of energy and demographic
and life history consequences of surplus
483
energy partitioning. Simple exponential and
density dependent population growth,
population -level production, interactions
among populations; pre da tor- prey,
competition, and generalized Lotka- Volte rra
models are examined.
MEES 636 Marine Microbial
Ecology Seminar (1 credits)
Prerequisite: undergraduate microbiology
and molecular biology. Credit will be
granted for only one of the following:
MEES 608L or MEES 636. Formerly
MEES608L.
Seminar course in which current papers from
the literature on marine microbial ecology will
be presented by students and critically
analyzed. Molecular approaches will be
emphasized.
MEES 643 Introduction to
Ecotoxicology (3 credits)
Prerequisite: permission of instructor.
Recommended: organic chemistry.
Tiered approach to ecotoxicology -
introduction to dose-response and bioassays
followed by biotic and abiotic factors that
influence toxicity. Toxic endpoints at the
population and community level, classes of
environmental chemicals and the toxic threat
they pose, issues associated with energy
production, climate agriculture and paper
manufacture, and risk assessment and
regulatory toxicology.
MEES 645 Ecology and
Management of Wetland and
Submersed Aquatic Vegetation
Systems (3 credits)
Two hours of lecture and four hours of
laboratory per week. Prerequisite: (PBIO
440 and PBIO 455) or permission of
instructor.
Comprehensive analysis of the ecology and
management of wetlands and submersed
aquatic vegetation at several scales of
organization from physiological, through
population, community, and systems levels.
Research studies from the Chesapeake Bay
watershed are discussed, as well as underlying
biogeochemical processes and forcing
functions (hydrology, nutrient input, etc.)
accounting for systems behavior. Couplings
with surrounding systems on land-margin
interface will be emphasized, along with
applications to current management issues.
Paper is required. Field trips and lab work will
complement topics covered in the lectures.
MEES 650 Wetland Ecology (3
credits)
Prerequisite: BIOM301 or permission of
department. Also offered as ENST450 and
ENST650. Credit will be granted for only
one of the following: ENST450, ENST650,
NRMT450, or MEES650.
Plant and animal communities,
biogeochemistry, and ecosystem properties of
wetland systems. Laboratory emphasizes
collection and analysis of field data on wetland
vegetation, soil, and hydrology.
MEES 661 Physics of Estuarine
and Marine Environments (3
credits)
Prerequisite: one year of calculus and one
year of physics or permission of instructor.
General introduction to the physical
oceanography of estuarine and marine
systems. Physical characteristics of seawater,
heat and mass transport, major ocean currents,
basic dynamical oceanography, surface waves,
tides, turbulence, sediment transport, estuarine
circulation.
MEES 682 Fishery Science and
Management (3 credits)
Prerequisite: permission of instructor.
Aquatic production and fisheries yields.
Introduction to fish population dynamics and
assessment methods. Effects of fishing on
resource potential yields. Causes of
fluctuations in resource abundance. An
emphasis on the relationship between science
and management.
MEES 698 Special Topics in
Marine-Estuarine-Environmental
Sciences (1-4 credits)
Credit according to time schedule and course
organization. Lecture and/or laboratory series
organized to study selected areas of
environmental science not otherwise
considered by existing courses. May be
repeated for credit since topic coverage will
change.
MEES 699 Special Problems in
Marine-Estuarine-Environmental
Sciences (1-3 credits)
Research on specialized topics under the
direction of individual faculty members.
MEES 708 Advanced Topics in
Marine-Estuarine-Environmental
Science (1-4 credits)
Repeatable to 12 credits if content differs.
Lectures, experimental courses and other
specialized graduate training in various
relevant disciplines.
MEES 711 Modeling Physical
and Chemical Processes in
Natural Waters (3 credits)
Prerequisites: CHEM 474 or equivalent;
and permission of instructor.
Quantitative mathematical descriptions of the
physical and chemical processes which control
the movement of chemicals in natural waters,
including gas exchange across the air-water
interface, adsorption, biological uptake, and
biotic and abiotic degradation.
MEES 721 Plankton Dynamics (3
credits)
Prerequisite: MEES 621; and MEES 661 or
equivalent.
Physiological ecology of plankton populations
beginning with the biochemistry, physiology
and ecology of phytoplankton and concluding
with the physiology and ecology of
zooplankton.
MEES 743 Aquatic Toxicology (3
credits)
Prerequisites: MEES 641; and BCHM 462
or permission of instructor.
Basic concepts and principles of aquatic
toxicology, laboratory testing and field
situations, as well as examples of typical data
and their interpretation and use; Toxicology
action and fate of environmental pollutants
will be examined in aquatic ecosystems, whole
organisms and at the cellular, biochemical, and
molecular levels.
MEES 799 Masters Thesis
Research (1-6 credits)
MEES 898 Pre-Candidacy
Research (1-8 credits)
MEES 899 Doctoral Dissertation
Research (1-8 credits)
Microbiology (MICB)
MICB 688 Special Topics (1-4
credits)
Prerequisite: twenty credits in
microbiology.
Presentation and discussion of fundamental
problems and special subjects in the field of
microbiology.
MICB 715 Advanced Topics in
Microbial Pathogenesis (3
credits)
Prerequisite: BSCI 424 or equivalent; or
permission of instructor.
Current aspects of microbial pathogenesis.
Topics include: how microorganisms attach to
and enter cells; how host cells are damaged by
microbial products; how the host responds to
invasion; and host-pathogen evolution.
Primary literature readings will serve as the
basis for lecture materials.
MICB 788 Seminar (1 credits)
First semester.
MICB 789 Seminar (1 credits)
Second semester.
MICB 799 Master's Thesis
Research (1-6 credits)
MICB 898 Pre-Candidacy
Research (1-8 credits)
MICB 899 Doctoral Dissertation
Research (1-8 credits)
Maryland Institute
for Applied
Environmental
Health (MIEH)
MIEH 600 Foundations of
Environmental Health (3
credits)
Not open to students who have completed
HLTH761 . Credit will be granted for only
one of the following: HLTH761 or
MIEH600. Formerly HLTH761.
Overview of the chemical, physical and
biological hazards present in our living and
working environment and their effects on
human health. Topics include: exposure
assessment, industrial hygiene and safety,
pesticides, community and indoor pollution,
food-borne diseases, solid and hazardous
wastes, water resources, risk assessement,
ecological issues and environmental laws.
MIEH 710 Major Environmental
Pollutants: Formation,
Transport, Analysis, and Effects
(3 credits)
Prerequisite: MIEH600. Recommended:
Prior coursework in chemistry and/or
biology.
In-depth study of major contaminants of air,
water, soil, and food. Discussion of various
models to estimate continuous concentrations
from discrete point monitors, and the uses and
limitations of remote sensing. Indentification
and analysis of disparities in the distribution of
environmental pollutants through written and
oral reviews of agents and environments.
MIEH 720 Principles of
Toxicology (3 credits)
Prerequisite: MIEH600. Recommended:
some coursework in chemistry and/or
484
biology.
Overview of toxicology, including exposure
pathways, toxicokinetics, dermal toxicants,
carcinogens, and genetic, reproductive,
immuno-, nuero-, target organs, complex
mixtures, structure -activity analysis, and
determinants of hypo- and hyper-
susceptibility. Case studies of global national
and regional interest.
MIEH 721 Physiological
Toxicology (3 credits)
Prerequisite: MIEH600 and M1EH620.
Recommended: courseworkin chemistry,
biology, biochemistry, and genetics.
Emphasis on macro molecular, metabolic,
cellular, and physiologic targets of
environmental contaminants and assays to
detect toxic effects at these levels. Discussion
of effects of select environmental toxicants in
the context of their disruption of normal
processes. Examination of the design of short-
term assays and their desirable features to
maximize usefulness for predicting human
disease.
MIEH 722 Laboratory Methods in
Environmental Health (3
credits)
Prerequisite: MIEH600. Recommended:
Analytical chemistry, microbiology,
biochemistry.
Application of chemical principles to
environmental monitoring. Basic sampling
techniques and laboratory tests to determine
chemical and microbiological pollutants in
water, air and soil from fie Id -collected
samples.
MIEH 725 Environmental
Analysis (3 credits)
Prerequisite: MIEH600 and M1EH650.
Recommended: MIEH722.
Fundamentals of environmental chemistry and
in environmental media (water, air, soil) and in
biota. Theory of sampling, chemical analysis
and quality control for major environmental
contanimants. Introduction to spatial and
statistical analysis, use of maps and
Geographic Information Systems, and use of
environmental anaylsis in remediation and
pollution prevention.
MIEH 740 Environmental Health
Risk Assessment (3 credits)
Prerequisite: MIEH600.
Review of the major methods of human and
ecological risk assessment conducted by the
U.S. Environment Protection Agency.
Emphasis on sources of uncertainty.
MIEH 742 Principles of Industrial
Hygiene (3 credits)
Prerequisite: MIEH600 and MIEH720.
Theory and practice of industrial hygiene,
including major industrial exposures and their
sampling and measurement. Focus on specific
industries, work populations, and
environments.
MIEH 750 Enviornmental Hazard
Management (3 credits)
Prerequisite: MIEH600.
Overview of the stakeholders and processes of
environmental management. Emphasis on
theory and practice, including examination of
diverse perspectives relating to environmental
management from sceince, business,
regulatory agencies, and the law. Analysis of
successes and failures of actual enviornmental
management cases at the state, regional, US,
and global levels.
MIEH 770 Law and Policy in
Environmental Health (3
credits)
Prerequisite: MIEH600.
Overview of laws that affect the environment,
and the various ways in which businesses are
regulated by the government in the interest of
protecting the environment. International,
Federal, state, and local laws and regulations
related to the protection of human health and
the regulation of environmental containments,
including biological, physicalanc chemical
factors affecting community health.
Examination of the interactions between and
differing responsibilities of various agencies
enforcing environmental laws and regulations.
MIEH 771 Exposure Assessment
of Environmental Hazards (3
credits)
Prerequisite: MIEH600 and EPIB650.
Approaches and methods for determining
exposure to environmental contaminants.
Biomonitoring and genetic methods to detect
recent exposures. Optimizing exposure
assessment.
MIEH 773 Biological
Contaminants in the
Environment (3 credits)
Prerequisite: MIEH600.
In-depth study of biological contaminants in
the environment and their impacts on human
health. Sources, dissemination and detection of
biological contaminants, and their
transmission to humans via food, water, air
and other environmental media. Methods of
disease prevention, including approaches to
ensuring safe food and drinking water
supplies.
MIEH 780 Environmental and
Occupational Hygiene (3
credits)
Prerequisites: EPIB610 and MIEH720.
Corequisite: MIEH740. Recommended:
EPIB650, MIEH770, MIEH771.
A synthesis of epdemiology, toxicology,
exposure science, risk assessment, and policy.
Emphasis will be on methods for prevention
and control of injury and illness, the hierarchy
of controls, current hot topics, and selected
environmental and occupational injuries.
MIEH 785 Internship in Public
Health (3 credits)
Prerequisite: permission of department.
Internship and seminar providing an
opportunity to apply previously acquired
knowledge and skills in a health or allied
health organization. Setting of the internship
will depend upon the student's background and
career goals.
MIEH 786 Captsone Project in
Public Health (3 credits)
Prerequisite: permission of department.
Capstone experience provding opportunity to
apply knowledge and skills to a specific public
health problem or issue. Completion of project
relevant to public health under the direction of
an advisor.
MIEH 788 Critical Readings in
Environmental Health (1-3
credits)
Prerequisite: MIEH600. Repeatable to 3
credits if content differs .
In-depth examination and critical discussion of
the current literature relevant to environmental
health.
MIEH 789 Independent Study (1-
6 credits)
Prerequisite: permission of department.
Individual reading and/or research under a
specific faculty member in the department.
MIEH 799 Master's Thesis
Research (1-6 credits)
Molecular and Cell
Biology (MOCB)
MOCB 608 Molecular and Cell
Biology Seminar (1-2 credits)
Repeatable to 5 credits if content differs.
Seminar in molecular and cell biology.
MOCB 630 Eukaryote Molecular
Genetics (3 credits)
Prerequisite: ZOOL 446 or permission of
department.
Molecular genetics of eukaryote systems.
MOCB 639 Advanced Cell
Biology (3 credits)
Prerequisite: ZOOL 41 1 or BOTN 420 or
equivalent. Graduate standing. Repeatable
to 6 credits if content differs.
Recent advances in key areas of modern cell
biology.
MOCB 640 Protein Structure and
Function (3 credits)
Protein structure, properties, and structure-
function relationships.
MOCB 699 Laboratory Rotation
(2-3 credits)
Six hours of laboratory per week.
Prerequisite: permission of the program.
For MOCB majors only. Repeatable to 6
credits if content differs.
Laboratory experience in molecular -cell
biology.
MOCB 708 Advanced Topics in
Molecular and Cell Biology (1-4
credits)
Repeatable to 6 credits if content differs.
Lectures, experimental courses, and other
special instructions in various areas of
molecular or cell biology.
MOCB 898 Pre-Candidacy
Research (1-8 credits)
MOCB 899 Doctoral Dissertation
Research (1-8 credits)
Master of Software
Engineering (MSWE)
MSWE 601 Issues in Software
Engineering (3 credits)
An overview of the general process of
software engineering, including an
introduction to the following topics: systems
engineering, software life cycle methods and
techniques, software specification and
analysis, software environments, databases,
and software project management. Case
studies will be used to consider specific
software life cycle models and a software
development project.
MSWE 603 Systems Engineering
(3 credits)
Prerequisite: MSWE 601.
An examination of the systems engineering
485
process to include: an overview of system
theory and structures, elements of the system
life cycle (including systems design and
development), risk and trade-off analyses,
modeling and simulation, and the tools needed
to analyze and support the systems process.
MSWE 605 Information Risk
Assessment and Security
Management (3 credits)
Prerequisite: MSWE 601.
Threats of electronic intrusion into corporate
information systems due to advances in
computer and telecommunications
technologies examined. Managing the risk
associated with these threats in the design of
applications and systems level software.
Computer and telecommunications security
challenges impacting the software engineering
professional. Software design and cost,
convenience and "user-friendliness". Key
technical and management issues concerning
security of public-switched network and
corporate databases. Issues of risk and security
related to software engineering within specific
industries and government. How major
technological advances in information
technologies place corporate assets at risk.
Quantitative and qualitative techniques for risk
assessment and decision-making under
uncertainty.
MSWE 609 A Quantitative
Approach to Engineering
Software (3 credits)
Prerequisite: MSWE 607.
The engineering of software is presented via a
continuous improvement paradigm for
software development, using quantitative
models of the various software processes,
artifacts, and experiences, e.g. resources,
defects. Software improvement and
assessment paradigms are discussed.
Experimentation, modeling building, and
measurement approaches are presented.
MSWE 611 Software
Specification and Analysis (3
credits)
Prerequisite: MSWE 607.
Mathematical techniques for describing
software systems, proving properties of a
system's behavior prior to its implementation,
and determining if the system has been
correctly implemented. Description
mechanisms for requirements and designs
(state machines, Z), proof systems (natural
deduction, term rewriting, model checking),
static analysis (abstract interpretation,
inspections, fault-tree analysis), dynamic
analysis (test oracles, executable assertions,
coverage metrics).
MSWE 615 Project Management
(3 credits)
Prerequisite: MSWE 607.
Project planning, organizing, and control; team
building; project management styles; and
ethics involved in project management are
stressed. The organizational systems that
support project operations, the difference
between line and project management and
project authority are examined. Emphasis is
placed on the creativity and innovation
involved in defining projects, designing
technical performance measures, measuring
cost effectiveness, and managing the project
team. Project management microcomputer
software will be used for creating the project
plan and managing the project.
MSWE 617 Software
Engineering Project (3 credits)
Prerequisite: all core courses.
Laboratory experience in applying the
software engineering techniques. Large-scale
software development of novel applications
systems.
Music Education
(MUED)
MUED 411 Advanced Methods in
Elementary Instrumental Music
Instruction (2 credits)
Prerequisites: MUED31 1 and MUED320.
Corequisite: MUED489. For MUED
majors only.
Prepare instrumental-emphasis music
education majors to synthesize the knowledge
and skills that will enable them to develop
and/or maintain an exemplary curricular-
oriented, research-based, comprehensive
elementary instrumental music program.
MUED 420 Advanced Methods in
Secondary Instrumental Music
Instruction (2 credits)
Prerequisites: MUED31 1 and MUED320.
Corequisite: MUED489. For MUED
majors only.
Prepare instrumental-emphasis music
education majors to synthesize the knowledge
and skills that will enable them to develop
and/or maintain an exemplary, curricular-
oriented, research-based, comprehensive
secondary instrumental program.
MUED 471 Elementary General
Music Methods (2 credits)
Prerequisites: MUED222 and MUED333.
Corequisite: MUED489. For MUED
majors only.
A study of music curriculum, materials and
teaching techniques for the development of
sequential experiences which contribute to
children's musical growth in the elementary
schools.
MUED 472 Choral Methods (2
credits)
Prerequisite: MUED471. Corequisite:
MUED489. For MUED majors only.
Preparation for teaching choral classes through
the integration of conducting technique, vocal
pedagogy, knowledge of repertoire, and the
application of appropriate instructional
strategies in the context of peer teaching and
field experience assignments.
MUED 473 Secondary General
Music Methods (2 credits)
For MUED majors only.
Introduction to current trends, materials and
approaches in secondary general music
instruction.
MUED 474 Field Experiences:
Pre-Student Teaching (1
credits)
Prerequisite: MUED41 1 and MUED420; or
MUED471 and MUED472; and permission
of department. Senior standing.
Field experiences to fulfill teaching
requirements in K-12 music teacher education
program.
MUED 484 Student Teaching in
Elementary School: Music (4-6
credits)
Prerequisites: Admission to teacher
education program and permission of
department. Corequisite: MUED494. For
MUED majors only.
Fulfills elementary teaching requirements in
K-12 music teacher education program.
Limited to music education majors who have
previously applied.
MUED 489 Field Experiences (1
credits)
Prerequisite: permission of department.
Restricted to music education majors only.
Repeatable to 6 credits.
Series of field experiences in K-12 settings.
MUED 494 Student Teaching in
Secondary School: Music (4-6
credits)
Prerequisite: admission to teacher
education program and permission of
department. Corequisite: MUED484. For
MUED majors only.
Fulfill secondary teaching requirements in K-
12 music teacher education program. Limited
to music education majors who have
previously applied.
MUED 499 Workshops, Clinics,
Institutes (1-3 credits)
Repeatable to 6 credits if content differs.
Innovative and experimental dimensions of
music education offered to meet the needs of
music teachers and music supervisors allowing
students to individualize their programs.
MUED 672 Advanced
Instrumental Methods in Music
Education (3 credits)
Prerequisite: permission of department.
Teaching instrumental music in the K-12
setting, including recruiting, literature
selection, curriculum, rehearsal techniques,
and pedagogical approaches.
MUED 673 Beginning String
Instruction: Principles and
Applications in Group Process
(3 credits)
Prerequisite: permission of department.
A survey of string instrument techniques,
pedagogy, and materials for the elementary
school. Includes hands-on review and
extension of beginning-level string playing
and teaching techniques through an organized,
sequential approach.
MUED 674 Secondary String
Instruction: Principles and
Applications in Group Process
(3 credits)
Prerequisite: permission of department.
Formerly MUED698.
A survey of string instrument techniques,
pedagogy, and materials for the middle and
high school. Includes hands-on review and
extension of intermediate and advanced level
string playing and teaching techniques through
an organized, sequential approach.
MUED 677 Advanced Studies in
Choral Music Education (3
credits)
Prerequisite: permission of department.
A critical review of choral music education in
both school and community. Includes
historical foundations, philosophical
perspectives, and practical teaching
applications in light of current scholarship.
MUED 687 Music Cultures in the
Classroom I: Philosophy and
486
Curriculum Development (3
credits)
For MUED majors only.
Development of music curriculum appropriate
for teaching in culturally diverse schools.
Formation of philosophical perspectives,
structuring of curriculum model, identifying
and sequencing curriculum content and
assessing multicultural curricula.
MUED 688 Music Cultures in the
Classroom II: Curriculum
Materials and Teaching
Strategies (3 credits)
One hour of lecture, one hour of laboratory,
and one hour of discussion/recitation per
week. Recommended: MUED 687. For
MUED majors only. Repeatable to 9 credits
if content differs.
Designed to assist the music teacher in
integrating music from selected cultures into
the curriculum. Curriculum materials are
presented and teaching strategies
demonstrated.
MUED 690 Research Methods in
Music and Music Education (3
credits)
The application of methods of research to
problems in the fields of music and music
education. The preparation of bibliographies
and the written exposition of research projects
in the area of the student's major interest.
MUED 691 Psychology of Music
Teaching and Learning (3
credits)
Prerequisite: permission of department.
An overview of the psychological bases of
musical behavior, with particular emphasis on
the teaching and learning of music.
MUED 692 Foundations and
Perspectives of Music
Education (3 credits)
Prerequisite: permission of department.
An introduction to historical, sociological, and
philosophical perspectives of music education.
MUED 694 Learning Theory in
Music (3 credits)
For MUED majors only.
Exploration of learning theories and their
application to music instruction. Study of
music learning theory in crosscultural settings;
application and evaluation in the context of a
multicultural classroom.
MUED 695 Curriculum
Development in Music
Education (3 credits)
Prerequisite: permission of department.
Survey of curriculum movements, theories,
methods, and materials in general education
and in music education.
MUED 696 Assessment in Music
Education (3 credits)
Prerequisite: permission of department.
Historical and theoretical nature of assessment
in education. Application and critique of
various materials and approaches to
assessment in music education. Development
of appropriate evaluation and reporting tools.
MUED 698 Current Trends in
Music Education (1-3 credits)
Repeatable to 08 credits if content differs.
A survey of current and emerging
philosophies, methodologies and curricula in
music education and their implementation.
The influence of educational and social
changes and the expanding musical scene
upon the music programs for children of all
ages and for teacher education.
MUED 699 Workshops, Clinics,
Institutes (1-3 credits)
Repeatable to 10 credits.
Innovative approaches to various dimensions
of music education are offered to meet the
pedagogical needs of music teachers. The
maximum number of credits tht may be earned
under this course symbol toward any degree is
six semester hours; the symbol may be used
two or more times unti sixsemester hours have
been reached.
MUED 780 Seminar in Music
Teacher Education (3 credits)
Doctoral standing. For MUED majors
only.
Development of knowledge and skills
necessary for music teacher educators. Topics
include history of and reform movements in
music teacher education, recruitment,
education and certification of music teachers
and inservice programs.
Ethnomusicology
(MUET)
MUET 420 Introduction to
Ethnomusicology (3 credits)
Prerequisite: MUET210, MUSC130, or
permission of instructor. Junior standing.
Study of principal concepts and methods in
ethnomusicology, covering history of field,
linguistics and anthropology, music in various
settings, musical cognition and ethnography of
performance.
MUET 430 The American
Musical Experience: North
America (3 credits)
Prerequisite: MUET210 or MUSC130.
Junior standing.
Many musical styles found in North America
portray the ideas and beliefs that characterize
our diverse society. Specific problems and
issues in American society examined through
the American musical experience.
MUET 432 Music in World
Culture I (3 credits)
Prerequisite: MUSC130 or permission of
department. Junior standing.
Musics of the Pacific and Asia analyzed in
terms of musical, social and aesthetic
interrelationships.
MUET 433 Music in World
Cultures II (3 credits)
Prerequisite: MUSC130 or permission of
department. Junior standing.
Musics of Europe, Africa, and the Americas
analyzed in terms of musical, social and
aesthetic interrelationships.
MUET 438 Area Studies in
Ethnomusicology (3 credits)
Prerequisite: MUET432 or MUET433 or
equivalent. Repeatable to 9 credits if
content differs.
Advanced study of musics in selected parts of
the world.
MUET 620 Analysis of World
Music (3 credits)
Recommended: Seminar in transcription.
Development of skills for auditory analysis of
diverse musical systems.
MUET 630 Seminar in Music
Transcription (3 credits)
Advanced study of musical notations of the
world; training in transcription of music
MUET 640 Seminar in
Organology (3 credits)
Advanced study of musical instruments of the
world, their morphology, musical and cultural
functions.
MUET 650 The Anthropology of
Music (3 credits)
Prerequisite: MUET 420 or equivalent; or
permission of instructor.
An exploration of theories and methods in
anthropology that have influenced the cross-
cultural study of music, dance, theater and
ritual performance.
MUET 660 Field Methods in
Ethnomusicology I (3 credits)
Introduction to a wide variety of methods and
strategies for surveying, interviewing, and
observing the dynamics of performance in
contrasting settings. This is the first of three
semesters of field methods.
MUET 661 Field Methods in
Ethnomusicology II (3 credits)
Prerequisite: MUET660.
Advanced training in field research techniques
and issues including multimedia recording and
data management, interview and survey
techniques, giant writing, and research ethics.
MUET 662 Field Methods in
Ethnomusicology III (3 credits)
Prerequisite: MUET 661.
Continuation of Field Methods in
Ethnomusicology II. Further development of
skills in data collection and interpretation,
culminating in an urban musical ethnography
project and document.
MUET 675 Historical Theory and
Method in Ethnomusicology (3
credits)
Prerequisite: MUET 420 or equivalent.
Reading and discussion of major works in
ethnomusicology, with emphasis on schools of
thought, convergence with and divergence
from musicology and systematic musicology;
close examination of trends in methodology
and theory from the mid eighteenth century
until approximately twenty years before the
present.
MUET 676 Current theory and
Method in Ethnomusicology (3
credits)
Prerequisite: MUET 675 or equivalent.
Reading and discussion of major works in
ethnomusicology, with emphasis on a close
examination of trends in methodology and
theory from approximately twenty years
before the present to current work in both
areas. Current work in all geographic areas
will be reviewed and analyzed.
MUET 679 Seminar in
Ethnomusicology (3 credits)
Repeatable to 9 credits if content differs.
Formerly MUSC679.
Seminar topics address current issues,
including gender, the social economy of
music, ethnography of performance, etc.
MUET 689 Advanced Seminar in
Ethnomusicology (3 credits)
Prerequisite: MUET 679. Repeatable to 9
credits if content differs.
Advanced Seminar on topics that change every
487
semester. Requires well-developed skills in
musical and social analysis, mastery of
theories and methods of ethnomusicology.
Music (MUSC)
MUSC 400 Music Pedagogy (3
credits)
Prerequisite: MUSP315; and permission of
department.
Conference course. A study of major
pedagogical treatises in music, and an
evaluation of pedagogical techniques,
materials, and procedures.
MUSC 428 Repertoire Coaching
of Vocal or Chamber Music (2
credits)
Pre- or corequisite: MUSC328.
A course for piano students who wish to go
further than the work offered in MUSC 128.
MUSC228 and MUSC328 by becoming
specialists in the areas of vocal coaching or
chamber music coaching. Elements of
pedagogy, conducting and responsible artistic
decision-making for the entire musical
production.
MUSC 435 Music of North
America (3 credits)
Prerequisite: permission of department.
A survey of North American music from
Colonial times to present.
MUSC 436 Jazz: Then and Now
(3 credits)
Major styles and influential artists of the past
75 years of jazz.
MUSC 439 Collegium Musicum
(1 credits)
Prerequisite: permission of department.
Repeatable to 5 credits.
Open to undergraduates and graduates, music
majors and non-majors. Procurement, edition
and performance of music not belonging to a
standard repertory: early music, compositions
for unusual performing media, works which
demand reconstruction of their original
circumstances of performance. Outcome of a
semester's work may be one or more
performances for the public.
MUSC 443 Solo Vocal Literature
(3 credits)
Prerequisite: MUSC330, MUSC331 or
equivalent.
The study of solo vocal literature from the
Baroque Cantata to the Art Song of the
present. The Lied, Melodie, vocal chamber
music and the orchestral song are examined.
MUSC 444 Wind and Percussion
Literature (1 credits)
Prerequisite: permission of department.
Corequisite: MUSP419 orMUSP420.
Recital program notes and written projects in
wind or percussion literature.
MUSC 445 Survey of the Opera
(3 credits)
Prerequisite: MUSC330, MUSC331 or
equivalent.
A study of the music, librettos and composers
of the standard operas.
MUSC 446 String Literature (1
credits)
Prerequisite: MUSP316 and permission of
department.
Recital program notes and written projects in
string literature.
MUSC 448 Selected Topics in
Music (1-3 credits)
Prerequisite: permission of department. A
maximum of three credits may be applied
to music major requirements. Junior
standing. Repeatable to 6 credits if content
differs.
MUSC 450 Musical Form (3
credits)
Prerequisite: MUSC251.
A study of the principles of organization in
music with emphasis on eighteenth and
nineteenth century European music. Reading
and analysis of scores exemplifying the
musical forms.
MUSC 451 Analysis of Music (3
credits)
Prerequisite: MUSC450 or permission of
instructor.
A course in the analysis of music. Discussion
of individual works, with emphasis on their
unique characteristics and on the relation of
analysis to performance.
MUSC 453 Jazz Improvisation I
(3 credits)
Prerequisite: MUSC251 or equivalent; and
permission of department. Credit will be
granted for only one of the following:
MUSC345 orMUSC453. Formerly
MUSC345.
Jazz theory, notational conventions,
improvisation techniques, reading and analysis
of music, and performance in small combo
format.
MUSC 454 Jazz Improvisation II
(3 credits)
Prerequisite: MUSC453 or equivalent; and
permission of department. Credit will be
granted for only one of the following:
MUSC346 or MUSC454. Formerly
MUSC346.
Continuation of MUSC453 including scoring
and transcription.
MUSC 455 Theory of Jazz (3
credits)
Prerequisite: MUSC250 or permission of
department. For MUSC majors only.
Analysis of jazz harmony, with emphasis on
principles of substitution, re harmonization,
and syntax. Topics may also include
chord/scale relationships, phrasing and
articulation, notation, and introductory
arranging concepts such as orchestration and
form.
MUSC 456 Jazz Arranging (3
credits)
Prerequisite: MUSC455 and permission of
department. Credit will be granted for only
one of the following: MUSC448N or
MUSC456. Formerly MUSC448N.
A comprehensive approach to jazz arranging.
Topics to include chord scale theory, voicing
techniques, part and score layout, and formal
construction of an arrangement.
MUSC 460 Tonal Counterpoint I
(3 credits)
Prerequisite: MUSC251 or permission of
department.
A course in Eighteenth-Century contrapuntal
techniques, analysis and original composition
of two-voice dances, preludes, and inventions.
Includes an introduction to the study of fugue
and canon.
MUSC 461 Theory and Analysis
of Atonal and Twelve-tone
Music (2 credits)
Prerequisite: MUSC251 and MUSC450;
and permission of department. For MUSC
Theory majors only.
An advanced technical introduction to theory
and analysis of atonal and twelve-tone music,
with an emphasis on music by Schoenberg,
Webern, Bartok, and Stravinsky.
MUSC 463 Applications in Music
Technology (3 credits)
A hands-on study of computer hardware and
software that makes use of the MIDI (Musical
Instrument Digital Interface) specification.
This protocol allows computers, synthesizers
and various other devices to send and receive
information about musical performance,
notation and sound. The course focuses on two
of the most frequently used applications on
MIDI — sequencing and music notation. Also
included is an introduction to digital audio. No
previous experience with computers is
required. Ability to read music on a grand staff
(treble and bass clef) is recommended.
MUSC 464 The Theories of
Heinrich Schenker (3 credits)
Prerequisite: MUSC251 and MUSC450;
and permission of department. Not open to
students who have completed MUSC65 1 .
Credit will be granted for only one of the
following: MUSC464 orMUSC651.
An advanced analysis course in tonal music
with specific emphasis on the theories of the
early 20th century theorist Heinrich Schenker.
Specific analyses of music by Bach, Mozart,
Haydn, Beethoven, Chopin, and Brahms.
MUSC 465 Theory in Analysis (3
credits)
Prerequisite: MUSC251 and MUSC450;
and permission of department. For MUSC
majors only.
An advanced readings course in theory of
music analysis, embracing philosophy of
approach and analytic applications. Topics
include standards for discourse, musical sound
and context, segmentation and categorization,
among others.
MUSC 467 Piano Pedagogy I (3
credits)
Prerequisite: permission of department.
A study of major pedagogical treatises in
music, and an evaluation of pedagogical
techniques, materials, and procedures.
MUSC 468 Piano Pedagogy II (3
credits)
Prerequisite: MUSC467 and permission of
department. Repeatable to 6 credits.
Application of the studies begun in MUSC467
to the actual lesson situation. Evaluation of
results.
MUSC 470 Harmonic and
Contrapuntal Practices of the
Twentieth Century (3 credits)
Prerequisite: MUSC251 or equivalent; and
permission of department.
A theoretical and analytical study of twentieth
century materials.
MUSC 471 Contemporary
Compositional Techniques (3
credits)
Prerequisite: MUSC470 and permission of
department.
Continuation of MUSC470, with emphasis on
488
the analysis of individual works written since
1945.
MUSC 480 Music in Antiquity
and the Middle Ages (3 credits)
Survey of western music from Hellenic times
to 1450.
MUSC 481 Music in the
Renaissance (3 credits)
Survey of western music from 1450 to 1600.
MUSC 482 Music in the Baroque
Era (3 credits)
Survey of western music from 1600 to 1750.
MUSC 483 Music in the Classic
Era (3 credits)
Survey of western music from 1750 to 1 820.
MUSC 484 Music in the
Romantic Era (3 credits)
Survey of western music from 1 820 to 1 900.
MUSC 485 Music in the 20th
Century (3 credits)
Prerequisite: permission of department.
Survey of western music from 1900 to the
present.
MUSC 486 Orchestration I (3
credits)
Prerequisite: MUSC251 and permission of
department.
A study of the ranges, musical functions and
technical characteristics of the instruments and
their color possibilities in various
combinations. Practical experience in
orchestrating for small and large ensembles.
MUSC 490 Conducting (2
credits)
Prerequisite: MUSC251.
Vocal and instrumental baton techniques.
MUSC 491 Conducting II (2
credits)
Prerequisite: MUSC490 or equivalent.
Baton techniques applied to score reading,
rehearsal techniques, tone production, style
and interpretation.
MUSC 492 Keyboard Music I (3
credits)
Prerequisite: permission of department.
The history and literature of harpsichord and
solo piano music from its beginning to the
romantic period. Emphasis is placed on those
segments of repertory which are encountered
in performance and teaching situations at the
present time.
MUSC 493 Keyboard Music II (3
credits)
Prerequisite: MUSC492 and permission of
department.
The history and literature of harpsichord and
solo piano music from the Romantic period to
the present. Emphasis is placed on those
segments of repertory which are encountered
in performance and teaching situations at the
present time.
MUSC 494 Survey of Theory (3
credits)
Prerequisite: MUSC251 and permission of
department.
A study of the major contributions of music
theorists from Greek antiquity through the
twentieth century.
MUSC 499 Independent Studies
(1-3 credits)
Prerequisite: permission of department.
May be repeated once for credit.
Independent research on a topic chosen in
consultation with the instructor, which may
culminate in a paper or appropriate project.
MUSC 550 Theory of Music
Graduate Review (3 credits)
Three hours of lecture and one hour of
laboratory per week. Prerequisite:
permission of department; Course is non-
applicable toward a graduate degree.
Music theory review for graduate
students :aural training, counterpoint, basic
diatonic harmony, chromatic harmony, and
analysis of complete works.
MUSC 601 Advanced English
Lyric Diction (1 credits)
Prerequisite: admission to graduate voice
program or permission of instructor. For
music majors only.
Concepts, strategies and techniques for singing
operatic, oratorio and song literature in
English: stress patterns, linkage, stressed and
non stressed vowels, dip thongs, placement of
consonants and vowels, and communication of
text with emphasis on current performance
practices. Use of the International Phonetic
Alphabet (IP A) will be stressed.
MUSC 602 Advanced Italian
Lyric Diction (1 credits)
Prerequisite: admission to graduate voice
program or permission of instructor. For
music majors only.
Concepts, strategies and techniques for singing
operatic and song literature in Italian:
syllabification, vowels, stressed and unstressed
syllables, dipthongs, glides and elisions, single
and double consonants with emphasis on
current performance practices.
MUSC 603 Advanced German
Lyric Diction (1 credits)
Prerequisite: admission to graduate voice
program or permission of instructor. For
music majors only.
Concepts, strategies and techniques for singing
operatic and song literature in German: single
and double consonants, the use of the glottal,
the German closed (e) and (o) vowels, the
"schwa" and consonant clusters. Mastery of
the International Phonetic Alphabet (IP A) as it
applies to "Hochdeutsch" will be expected.
MUSC 604 Advanced French
Lyric Diction (1 credits)
Prerequisite: admission to graduate voice
program or permission of instructor. For
music majors only.
Concepts, strategies and techniques for singing
operatic and song literature in French:
phoneticization, formation and singing of the
vowel-sounds, semi-consonants, and
consonants; the liaison; legato singing in
French; the hiatus, mute and aspirate 'h'; stress
and word rhythm. Use of the International
Phonetic Alphabet (IP A) will be stressed.
MUSC 605 Opera Repertory I (1
credits)
One hour of laboratory per week.
Prerequisite: MUSC 602 or permission of
instructor. For MUSC majors only.
Advanced vocal coaching of selections from
the Italian, French, German, and English opera
repertory: musical accuracy; language facility;
diction clarity; and dramatic interpretation.
MUSC 606 Opera Repertory II (1
credits)
One hour of laboratory per week.
Prerequisite: MUSC 605 or permission of
instructor, For MUSC majors only.
Continuation of MUSC 605. Advanced vocal
coaching of selections from the Italian,
French, German and English opera repertory:
musical accuracy, language facility; diction
clarity; and dramatic interpretation.
MUSC 608 Chamber Music
Repertory (1-3 credits)
May be repeated for credit to the maximum
credit designated in the student's major
degree program.
Prerequisite: graduate standing as a major in
performance. A study, through performance,
of diversified chamber music for standard
media.
MUSC 611 Opera Techniques I
(2 credits)
Two hours of lecture, five hours of
laboratory, and two hours of
discussion/recitation per week.
Prerequisite: permission of director of
opera. Corequisite: MUSC 601. For MUSC
majors only.
Techniques for opera performance:
Improvisation; Acting I, Scene Study I; and
Movement I. Practical application of styles
and techniques to operatic repertoire.
MUSC 612 Opera Techniques II
(2 credits)
Two hours of lecture, five hours of
laboratory, and two hours of
discussion/recitation per week.
Prerequisites: MUSC 611 with a grade of B
or better and permission of Director of
Opera. Corequisite: MUSC 602. For
MUSC majors only.
Continuation of MUSC 611. Techniques for
opera performance: Scene Study II; Movement
II; and Mask.
MUSC 613 Opera Techniques III
(2 credits)
One hour of lecture, four hours of
laboratory, and one hour of
discussion/recitation per week.
Prerequisites: MUSC 612 with a grade of B
or better and permission of Director of
Opera. Corequisites: MUSC 603 and
MUSC 605. For MUSC majors only.
Continuation of MUSC 612. Techniques for
opera performance: Scene Study III;
Movement III; and Shakespeare.
MUSC 614 Opera Techniques IV
(2 credits)
Two hours of lecture, five hours of
laboratory, and one hour of
discussion/recitation per week.
Prerequisites: MUSC 613 with a grade of B
or better and permission of Director of
Opera. Corequisites: MUSC 604 and
MUSC 606. For MUSC majors only.
Continuation of MUSC 613. Techniques for
opera performance: Scene Study IV and
Movement IV.
MUSC 615 Seminar in Suzuki
String Pedagogy I (3 credits)
Prerequisite: MUSC 400.
Analysis of the techniques, methods and
repertory of the Suzuki Violin School.
MUSC 616 Seminar in Suzuki
String Pedagogy II (3 credits)
Prerequisite: MUSC 615.
Analysis of the techniques, methods and
repertory of the Suzuki Violin School.
489
MUSC 617 Seminar in Suzuki
String Pedagogy III (3 credits)
Prerequisite: MUSC 616.
Analysis of the techniques, methods and
repertory of the Suzuki Violin School.
MUSC 621 Documents of Theory
and Aesthetics: Ancient,
Medieval and Renaissance (3
credits)
Writings about music in antiquity, the Middle
Ages, and the Renaissance.
MUSC 629 Ensemble (1 credits)
Repeatable to 36 credits.
Rehearsal and performance of selected works
for small and large instrumental ensembles.
MUSC 635 Seminar in American
Music (3 credits)
Prerequisite: permission of department.
Seminar leading to an orginal research project
relating to music or musical life in North
America, from 1600 to the present.
MUSC 639 Seminar in Music (3
credits)
Prerequisite: MUSC 330 and MUSC 331
and permission of instructor. Repeatable if
content differs.
The work of one major composer (Bach,
Beethoven, etc.) will be studied.
MUSC 640 Performance Practice
I (3 credits)
Prerequisite: permission of department.
Problems in the performance of music lying
primarily outside the standard repertory.
Mainly for performance majors.
MUSC 642 Early Music Notation
(3 credits)
Aspects of notation in music before 1600;
transcription into modern notation.
MUSC 643 Seminar in Solo
Vocal Literature I (3 credits)
Prerequisite: MUSC 444 or equivalent.
An intensive study of solo vocal literature
from its origin to the present.
MUSC 644 Seminar in Solo
Vocal Literature II (3 credits)
Prerequisite: MUSC 643 or equivalent.
A continuation of MUSC 643 with an
emphasis on areas of individual interest.
MUSC 645 Seminar in Vocal
Pedagogy (3 credits)
Prerequisite: MUSC 400 or equivalent.
A study of the physiological, psychological
and acoustical aspects of the teaching of
singing combined with independent study and
research in areas of individual interest.
MUSC 648 Seminar in Music
Research (3 credits)
Prerequisite: MUSC 331 and graduate
standing.
An introduction to graduate study in the
history and literature of music. Bibliography
and methodology of systematic and historical
musicology.
MUSC 649 Ensemble (1 credits)
Repeatable to 36 credits if content differs.
MUSC 650 The Contemporary
Idiom (3 credits)
Prerequisite: MUSC 470 or permission of
department.
Analysis of various works of the twentieth
century.
MUSC 651 The Theories of
Heinrich Schenker (3 credits)
Prerequisite: MUSC 450 or permission of
department.
The analytical methods of Heinrich Schenker
with application of those theories to musical
literature from the Baroque, Classical and
Romantic periods.
MUSC 658 Seminar in Advanced
Analysis (3 credits)
Prerequisites: {MUSC 451: and MUSC 471
and MUSC 65 1 } or permission of
department. Repeatable to 6 credits if
content differs.
Individual analytical projects including
computer music, non-western music and
advanced Schenkerian analysis. Readings
regarding form, structure and analytical
methods.
MUSC 659 Seminar in Choral
Repertoire and Pedagogy (2-3
credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: MUSC 659 or MUSC 699R.
Formerly MUSC699R.
Analysis and preparation of choral master
works from all major style periods for the
purpose of successful rehearsal and
performance by conductors.
MUSC 660 String Pedagogy (3
credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: MuSC400S orMUSC600.
A study of major string pedagogical treatises,
and an evaluation of string pedagogical
techniques, materials, and procedures.
MUSC 661 Theory and Analysis
and Twelve-Tone Music (3
credits)
Prerequisite: MUSC450 and permission of
department. Not open to students who have
completed MUSC461 orMUSC699T.
Credit will be granted for only one of the
following: MUSC461, MUSC661,
MUSC699T. Formerly MUSC699T.
An introduction to the advanced theroetical
literature in atonal and twelve-tone music with
an emphasison analytical applications to music
but prominent 20th century composers.
MUSC 665 Theory in Analysis (3
credits)
Prerequisite: MUSC450 or permission of
department. Not open to students who have
completed MUSC465 or MUSC699T.
Credit will be granted for only one of the
following: MUSC465, MUSC665 or
MUSC699T. Formerly MUSC699T.
An advanced study of the philosophy, practice,
and aesthetics of music analysis in
contemporary music thoery. Readings drawn
from the theoretic literature from 1960 to the
present emphasize standards for discourse, the
objects of music analysis, rationales for
interpretation, and the role that theories of
musical structure play in analytic practice.
MUSC 670 Advanced Analytical
Techniques I (3 credits)
Prerequisite: MUSC 451 or permission of
department.
Analysis of representative masterpieces of the
eighteenth and early nineteenth centuries.
MUSC 671 Advanced Analytical
Techniques II (3 credits)
Prerequisite: MUSC 451 or permission of
department.
Analysis of representative masterpieces of the
nineteenth and early twentieth centuries.
MUSC 672 Masterworks of the
20th Century: 1900-1950 (3
credits)
Prerequisite: permission of department. Not
open to students who have completed
MUSC470, MUSC448M, or MUSC699M.
Credit will be granted for only one of the
following: MUSC448M, MUSC470,
MUSC672, or MUSC699M. Formerly
MUSC699M.
A comprehensive survey of Western Art-
Music of the first half of the 20th Century with
a more intensive study and analysis of
composers who have had a profound influence
in the development of compositional practice
in the modern era.
MUSC 673 Style Analysis (3
credits)
Prerequisite: MUSC251 and permission of
department. Not open to students who have
completed MUSC448 or MUSC699F.
Credit will be granted for only one of the
following: MUSC673, MUSC448F,
MUSC699F. Formerly MUSC699F.
An analytical study of musical style from the
Middle Ages to present through analysis of
selected compositions. The principle genres
and composers of Medieval, Renassiance,
Batoque, Classical, Romantic and 20th century
music will be covered.
MUSC 675 Music Theory
Pedagogy (3 credits)
Analysis of introductory level music theory
courses, evaluation of text materials, and
teaching approaches for music fundamentals,
aural training, and basic undergraduate theory
programs.
MUSC 678 Seminar in Musical
Composition (3 credits)
Prerequisite: MUSC 479 or equivalent; and
graduate standing.
An advanced course in musical composition.
May be repeated for credit.
MUSC 680 Seminar in Music of
Antiquity and the Middle Ages (3
credits)
Research topics in music from antiquity to
1450.
MUSC 681 Seminar in Music of
the Renaissance (3 credits)
Seminar in music of the Renaissance.
Research topics in music from 1450 to 1600.
MUSC 682 Seminar in Music of
the Baroque Era (3 credits)
Seminar in music of the Baroque era. Research
topics in music from 1600 to 1750.
MUSC 683 Seminar in Music of
the Classic Era (3 credits)
Seminar in music of the Classic era. Research
topics in music from 1750 to 1820.
MUSC 684 Seminar in Music of
the Romantic Era (3 credits)
Seminar in music of the Romantic era.
Research topics in music from 1820 to 1900.
MUSC 685 Seminar in Music of
the 20th Century (3 credits)
Seminar in music of the twentieth century.
490
Research topics in music from 1900 to the
present.
MUSC 688 Advanced
Orchestration (3 credits)
Prerequisite: MUSC 487 or equivalent, and
graduate standing. May be repeated for
credit.
Orchestration projects in the styles of
Debussy, Ravel, Stravinsky, Schoenberg,
Bartok, and others.
MUSC 689 Advanced
Conducting (3 credits)
Prerequisite: MUSC 491 or equivalent.
May be repeated for credit.
A concentrated study of the conducting
techniques involved in the repertoire of all
historical periods.
MUSC 699 Selected Topics in
Music (1-3 credits)
Prerequisite: permission of department. A
maximum of three credits may be applied
to degree requirements. Repeatable to 6
credits if content differs.
MUSC 799 Master's Thesis
Research (1-6 credits)
MUSC 800 Advanced Seminar in
Music Pedagogy (3 credits)
Prerequisites: MUSC 400 or equivalent,
doctoral standing and permission of
instructor.
A detailed study of historical and
contemporary methods of pedagogy, and
analysis of pedagogical problems. Sectioning
by instrument. Required of all candidates for
the D.M.A. Degree in performance and
literature.
MUSC 801 Advanced Seminar in
Music Pedagogy (3 credits)
Prerequisites: MUSC 400 or equivalent,
doctoral standing and permission of
instructor,
A detailed study of historical and
contemporary methods of pedagogy, and
analysis of pedagogical problems. Sectioning
by instrument. Required of all candidates for
the D.M.A. Degree in performance and
literature.
MUSC 811 Doctoral Opera
Techniques (2 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: MUSC611 orMUSC811.
Techniques for opera performance:
Improvisation; Acting I, Scene Study I; and
Movement I. Practical application of styles,
techniques to operat e repertoire, and
methodology seminal".
MUSC 812 Doctoral Opera
Techniques II (2 credits)
Prerequisite: MUSC81 1 and permission of
department. Credit will be granted for only
one of the following: MUSC612 or
MUSC812.
Continuation of MUSC81 1. Techniques for
opera preformance: Scene Study II; Acting II;
Movement II: Stage Combat I; and Mask; and
methodology seminal".
MUSC 813 Doctoral Opera
Techniques III (2 credits)
Prerequisite: MUSC812 and permission of
department. Credit will be granted for only
one of the following: MUSC613 and
MUSC813.
Continuation of MUSC812. Techniques for
opera performance: Scene Study III;
Movement III; Acting III Shakespeare; Dance
I; Stage Combat II; Stage Combat II; and
methodology seminar.
MUSC 814 Doctoral Opera
Techniques IV (2 credits)
Prerequisite: MUSC813 and permission of
department. Credit will be granted for only
one of the following: MUSC614 or
MUSC814.
Continuation of MUSC813. Techniques for
opera performance: Scene Study IV; Dance II;
Movement IV; and methodology seminar.
MUSC 830 Doctoral Seminar in
Music Literature (3 credits)
Prerequisite: at least twelve hours in music
history and literature.
An analytical survey of the literature of music:
keyboard music; vocal music; string music;
wind instrument music; required of all
candidates for the D.M.A. Degree in literature-
performance.
MUSC 831 Doctoral Seminar in
Music Literature (3 credits)
Prerequisite: MUSC 830 or permission of
instructor.
An analytical survey of the literature of music:
keyboard music; vocal music; string music;
wind instrument music. Required of all
candidates for the D.M.A. Degree in literature-
performance.
MUSC 878 Advanced
Composition (3 credits)
Prerequisite: MUSC 678 or equivalent, and
permission of instructor. Repeatable for
credit.
Conference course in composition in the larger
forms.
MUSC 898 Pre-Candidacy
Research (1-8 credits)
MUSC 899 Doctoral Dissertation
Research (1-8 credits)
Music Performance
(MUSP)
MUSP 402 Music Performance (2
credits)
Senior course, in the minor series.
MUSP 403 Music Performance (2
credits)
Senior course, in the minor series.
MUSP 409 Music Performance
(2-4 credits)
Senior course in the principal series.
MUSP 410 Music Performance
(2-4 credits)
Senior course in the principal series. Recital
required.
MUSP 419 Music Performance
(2-4 credits)
Senior course in the major series.
MUSP 420 Senior Recital (4
credits)
Three hours of laboratory per week.
Prerequisite: MUSP419 and permission of
department.
Senior course in the major series. Recital
required.
MUSP 609 Interpretation and
Repertoire (2 credits)
Prerequisite: permission of department
chairman and graduate standing in
performance in the principal series.
MUSP 610 Graduate Music
Performance (4 credits)
Prerequisite: MUSP 609 and permission of
department chairman. Recital course in the
principal series.
MUSP 619 Interpretation and
Repertoire (2-4 credits)
Prerequisite: departmental audition and
permission of Department Chairman.
Repeatable to a maximum of 12 credits.
MUSP 620 Graduate Music
Performance (4 credits)
Prerequisite: MUSP 619 and permission of
Department Chairman. Recital course in the
major series.
MUSP 719 Interpretation and
Repertoire (2-4 credits)
Prerequisite: departmental audition, admission
to doctoral program in the major series and
permission of department chairman.
Repeatable to a maximum of 12 credits.
MUSP 815 Interpretation,
Performance, and Pedagogy (4
credits)
A seminar in pedagogy and the pedagogical
literature for the doctoral performer, with
advanced instruction at the instrument,
covering appropriate compositions. Required
of all candidates for the D.M.A. Degree in
literature-performance. Prerequisite: doctoral
standing in performance and permission of
department chairman. Recital course.
MUSP 816 Interpretation,
Performance, and Pedagogy (4
credits)
Recital course. Prerequisite: MUSP 815 and
permission of Department Chairman.
MUSP 817 Interpretation,
Performance, and Pedagogy (4
credits)
Recital course. Prerequisite: MUSP 816 and
permission of Department Chairman.
MUSP 898 Pre-Candidacy
Research (1-8 credits)
MUSP 899 Doctoral Dissertation
Research (1-8 credits)
Neuroscience and
Cognitive Science
(NACS)
NACS 600 Ethics in Scientific
Research (2 credits)
Prerequisite: Completion of one year of
graduate study. Corequisite: Permission of
instructor. For NACS majors only. Also
offered as PSYC788B. Credit will be
granted for only one of the following:
ZOOL600, NACS728F, PSYC788B,
BIOL600. Formerly NACS728F.
Issues of scientific integrity with emphasis on
investigators in the laboratory sciences,
including mentoring, scientific record keeping,
authorship and peer review, ownership of data,
use of animals and humans in research, and
conflict of interest.
NACS 608 Neuroscience and
Cognitive Science Seminar (1-2
credits)
One hour of lecture per week. Prerequisite:
Permission of the instructor. Repeatable to
491
08 credits if content differs.
Special seminar topics in Neuroscience and
Cognitive Science.
NACS 618 The Classics in
Neuroscience and Cognitive
Science (2 credits)
Prerequisite: permission of department.
Repeatable to 8 credits if content differs.
Classic papers in Neuroscience and Cognitive
Science dating from the turn of the century to
the present.
NACS 641 Introduction to
Neurosciences (4 credits)
Prerequisite: permission of instructor.
Detailed examination of neuroanatomy,
neurophysiology, neural development and
plasticity, sensory processing, motor control,
and 'higher' CNS (Cognitive & Neural
Science) functions such as language and
memory.
NACS 643 Computational
Neuroscience (4 credits)
Prerequisite: NACS641 and calculus or
permission of instructor. Credit will be
granted for only one of the following:
NACS643 or NACS728N. Formerly
NACS728N.
Provides a mathematical foundation in
computational neuroscience.
NACS 644 Cellular and
Molecular Neuroscience (4
credits)
Three hours of lecture and one hour of
discussion/recitation per week.
Prerequisite: NACS641 or permission of
instructor. Credit will be granted for only
one of the following: NACS644 or
NACS728Z.
Overview of insights into the molecular
mechanisms underlying the structure and
function of the nervous system.
NACS 728 Selected Topics in
Neuroscience and Cognitive
Science (2-4 credits)
Prerequisite: permission of department.
Repeatable to 15 credits if content differs.
Graduate seminar on selected topics in
contemporary neuroscience and Cognitive
science. Extensive readings from the primary
literature. Topics vary by semester.
NACS 898 Pre-Candidacy
Research (1-8 credits)
NACS 899 Doctoral Dissertation
Research (1-8 credits)
For NACS majors only.
Indivdual instruction course: contact
department or instructor to obtain section
number
Neuroscience
(NASC)
NASC 641 Introduction to
Neuroscience (4 credits)
Three hours of lecture and three hours of
discussion/recitation per week.
Prerequisite: permission of instructor.
Detailed examination of neuroanatomy,
neurophysiology, neural development and
plasticity, sensory processing, motor control,
and 'higher' CNS (Cognitive and Neural
Science) functions such as language and
memory.
Nutrition and Food
Science (NFSC)
NFSC 410 Nutritional Genomics
(3 credits)
Prerequisite: NFSC440 or permission of
department.
The emerging discipline of nutritional
genomics, also known as nutrigenomics, is the
study of effects of diet on the activity of an
individual's genes and health, and the study of
how different genetic variations affect nutrient
metabolism. This course is designed to
acquaint the students with current concepts,
knowledge and strategies for understanding
nutritional genomics.
NFSC 412 Food Processing
Technology (4 credits)
Three hours of lecture and three hours of
laboratory per week. Prerequisites:
CHEM241/CHEM242; NFSC414;
NFSC431; and NFSC434. Corequisites:
NFSC421 and NFSC423. Recommended:
MATH220.
Provides in-depth study of the major industrial
modes of food preservation. It integrates
aspects of the biology, microbiology,
biochemistry and engineering disciplines as
they relate to food processing technology and
food science.
NFSC 414 Mechanics of Food
Processing (4 credits)
Three hours of lecture and one hour of
laboratory per week. Prerequisite:
PHYS121. Credit will be granted for only
one of the following: ENBE414 or
NFSC414. Formerly ENBE414.
Applications in the processing and
preservation of foods, of power transmission,
hydraulics, electricity, thermodynamics,
refrigeration, instruments and controls,
materials handling and time and motion
analysis.
NFSC 421 Food Chemistry (3
credits)
Prerequisite: BCHM461.
Basic chemical and physical concepts are
applied to the composition and properties of
foods. Emphasis on the relationship of
processing technology to the keeping quality,
nutritional value, and acceptability of foods.
NFSC 422 Food Product
Research and Development (3
credits)
One hour of lecture and four hours of
laboratory per week. Prerequisite:
permission of department. Senior standing.
For FDSC majors only. Formerly
FDSC422.
A capstone course for FDSC majors. A study
of the research and development of new food
products. Application of food technology,
engineering, safety and packaging are
integrated by teams of students to develop a
new food product from concept to pilot plant
scale-up. Students will travel to nearby food
processing plants on two to four Saturdays
during the semester.
NFSC 423 Food Chemistry
Laboratory (3 credits)
Four hours of laboratory per week. Pre- or
corequisite: NFSC421.
Analysis of the major and minor constituents
of food using chemical, physical and
instrumental methods in concordance with
current food industry and regulatory practices.
Laboratory exercises coincide with lecture
subjects in NFSC421.
NFSC 425 International Nutrition
(3 credits)
Prerequisite: course in basic nutrition.
Nutritional status of world population;
consequences of malnutrition on health and
mental development; and local, national, and
international programs for nutritional
improvement.
NFSC 430 Food Microbiology (3
credits)
Prerequisite: BSCI233 or equivalent. Also
offered as ANSC430. Credit will be
granted for only one of the following:
ANSC430. Formerly FDSC430.
A study of microorganisms of major
importance to the food industry with emphasis
on food-borne outbreaks, public health
significance, bioprocessing of foods, disease
control, and the microbial spoilage of foods.
NFSC 431 Food Quality Control
(4 credits)
Three hours of lecture and two hours of
laboratory per week.
Definition and organization of the quality
control function in the food industry;
preparation of specifications; statistical
methods for acceptance sampling; in-plant and
processed product inspection. Instrumental and
sensory methods for evaluating sensory
quality, identity and wholesomeness and their
integration into grades and standards of
quality. Statistical Process Control (SPC).
NFSC 434 Food Microbiology
Laboratory (3 credits)
One hour of lecture and five hours of
laboratory per week. Pre- or corequisite:
NFSC430. Also offered as ANSC434.
Credit will be granted for only one of the
following: NFSC434 or ANSC434.
Formerly FDSC434.
A study of techniques and procedures used in
the microbiological examination of foods.
NFSC 440 Advanced Human
Nutrition (4 credits)
Four hours of lecture per week.
Prerequisites: NFSC100, BCHM462,
BSCI440, and permission of department.
A critical study of physiologic, molecular and
metabolic influences on utilization of
carbohydrates, lipids, proteins, vitamins,
macro-and micro- minerals, and nonnutritive
components of food. Interactions of these
nutrients and food components will be
examined relative to maintaining health.
NFSC 450 Food and Nutrient
Analysis (3 credits)
One hour of lecture and four hours of
laboratory per week. Prerequisites:
NFSC100 or NFSC200; and BCHM461.
Formerly NUTR450.
Methods and practices of the analysis of foods
and nutrients. An overview of the principles
and basic mechanisms used in many of the
analytical procedures commonly used in food
and nutrition research. Emphasis will be
placed on hands-on development of skills
necessary to complete each analytical
procedure; and on the accurate and concise
description of the methodology and results
from their application and on the regulations
492
governing food analysis for nutritional
labeling.
NFSC 460 Medical Nutrition
Therapy (4 credits)
Three hours of lecture and two hours of
laboratory per week. Prerequisites:
NFSC380, NFSC440 and permission of
department. Formerly NUTR460.
Modifications of the normal adequate diet to
meet human nutritional needs in acute and
chronic diseases and metabolic disorders.
NFSC 468 Practicum in Nutrition
(1-6 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Formerly NUTR468.
In-service training and practical experience in
the application of the principles of normal
and/or therapeutic nutrition in an approved
community agency, clinical facility or
nutrition research laboratory.
NFSC 470 Community Nutrition
(3 credits)
Two hours of lecture and three hours of
discussion/recitation per week.
Prerequisite: NFSC315 and permission of
department. Formerly NUTR470.
Perspectives underlying the practice of
nutrition services in community settings.
Assessment of needs, program planning and
evaluation. Programs and strategies to meet
nutrition needs outside the acute care setting,
such as nutrition education and food
assistance. National nutrition policy and
federal initiatives in nutrition will be
examined. Students will be required to travel
to local community nutrition sites during the
semester.
NFSC 490 Special Problems in
Nutrition (2-3 credits)
Prerequisites: NFSC440 and permission of
department.
Individually selected problems in the area of
human nutrition.
NFSC 491 Issues and Problems
in Dietetics (3 credits)
Five hours of lecture per week.
Prerequisite: NFSC350 and permission of
department. Corequisite: NFSC460. Senior
standing. For DIET majors only.
A capstone course for dietetics majors.
Students will integrate knowledge and theory
of nutrition, food, management, psychology,
and social behaviors necessary to support
quality dietetic practice. Working in teams,
students will participate in case studies,
simulated situations and community projects.
Individuals and groups will present cases as
well as papers on published research.
NFSC 498 Selected Topics (1-3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Selected current aspects of food.
NFSC 501 Food Safety Risk
Management (3 credits)
A critical study of the role of risk management
in providing science-based approaches in
solving food safety problems. Several models
and practical applications in critical risk
management activities will be examined:
identifying problems and issues; establishing
objectives, determining if and when a risk
management is needed as well as formulating,
evaluating, and implementing the best option
to manage risk.
NFSC 502 Food Safety Risk
Assessment (3 credits)
Prerequisite: NFSC501.
To study the theory, methodology, and
mainstream risk assessment models with
emphasis on state-of-the-art guidelines and an
examination of actual risk assessment that
include post- and pre-market assessment
utilizing the safety paradigm, biotechnology,
carcinogenicity, and nutritional risk/benefit
assessment, as well as microbiological,
aniti microbial resistance, animal drug, and
food defense risk assessment.
NFSC 503 Qualitative &
Quantitative Methods in Food
Safety Risk Assessment (3
credits)
Prerequisite: NFSC502.
To examine methods necessary for
characterizing, evaluating, and comparing
food safety risks. To present screening and
ranking tools useful in qualitative assessments.
To examine quantitative modeling
consideratins such as probability, sensitivity
analysis, uncertainty, and variability. To test
methods such as event trees, probabilistic
scenarios anaylsis, and Monte Carlo methods.
Simple risk models suitable for responding to
risk managers' needs will be developed using
qualitative and quantitative tools.
NFSC 605 Food-Related
Behavior of the Individual (3
credits)
Prerequisite: permission of department.
Formerly FOOD670.
Examination of the factors that influence food-
related behavior and of the research methods
used.
NFSC 610 Molecular
Gerontology (3 credits)
Prerequisite: BCHM461 orBCHM463; or
equivalent; or permission of department.
Recommended: BCHM462 or BCHM465.
This course is designed to acquaint the
students with current knowledge of the
molecular aspect of the aging process, with
focuses on the genome, mechanisms of age-
related degeneration, and molecular nutrition.
NFSC 611 Molecular Nutrition:
Genomic, Metabolic, and Health
Aspects (2 credits)
Recommended: BCHM461, BCHM463, or
NFSC440.
The emerging discipline of molecular nutrition
encompasses nutritional biochemistry,
nutritional genomics, nutritional
metabolomics, and epigenetics. It focuses on
the effects of diet and nutrients on an
individual's genome and metabolism, and how
the molecular events affect human health. This
is a co-taught course together with National
Taiwan University via videoconferencing.
NFSC 615 Maternal and Infant
Nutrition (3 credits)
Prerequisite: NFSC 460 or equivalent, or
permission of department. Formerly
NUTR615.
Current literature concerning the importance
of diet during pregnancy and infancy on the
health of the mother and infant. Physiological
and biochemical changes during pregnancy
and infancy, current issues in infant feeding,
such as possible effects of diet during infancy
on obesity and degenerative diseases in later
life, and current public health programs
designed to serve pregnant women and infants.
NFSC 630 Nutritional Aspects of
Energy Balance (3 credits)
Formerly NUTR630.
The prevalence and basic causes of caloric
imbalance, along with a wide variety of
approaches to weight control.
NFSC 631 Advanced Food
Microbiology (3 credits)
Prerequisite: NFSC430 or permission of
instructor. Formerly FDSC631.
One lecture and one laboratory period a week.
An in-depth understanding and working
knowledge of a selected number of problem
areas and contemporary topics in food
microbiology.
NFSC 650 Nutrition and Public
Health (2 credits)
Prerequisite: NFSC 470 or permission of
department.
Overview of the major policy debates
involving nutrition and health in the U.S.
Public Health System associated with
nutrition, chronic disease and nutrition
lifestyle stages will be discussed. The
CDCynergy software program will facilitate
the development of program design,
implementation and evaluation skills.
NFSC 655 Nutrition, Food and
Public Policy (3 credits)
Formerly NUTR655.
History and current status of legislation
relative to nutrition and food. Focus on
gaining insights and skills regarding working
effectively in the area of nutrition and policy.
NFSC 660 Research Methods (3
credits)
Prerequisite: a statistics course. Formerly
NUTR 660.
A study of appropriate research methodology
and theories including experimental design.
Each student is required to develop a specimen
research proposal.
NFSC 675 Nutritional
Epidemiology (3 credits)
Prerequisite: BIOM 401, NFSC 440.
Corequisite: BIOM 602. Formerly
NUTR675.
Discussion of definition, history, relevance,
and application of nutritional epidemiology to
national and international nutrition problems.
Exposure to skills and methodological tools
used in nutritional epidemiology. Practical
examples of epidemiologic studies also
performed.
NFSC 678 Selected Topics in
Nutrition (1-6 credits)
Repeatable to 6 credits. Formerly
NUTR678.
Individual or group study in an area of
nutrition.
NFSC 679 Selected Topics in
Food Science (1-6 credits)
Repeatable to 6 credits if content differs.
Individual or group study in an area of food
science.
NFSC 680 Human Nutritional
Status (3 credits)
Two hours of lecture and three hours of
laboratory per week. Prerequisites:
advanced nutrition, biochemistry and
physiology..
493
Indirect and direct methods of appraisal of
human nutritional status which include:
dietary, anthropometric, clinical evaluations
and biochemical measures.
NFSC 688 Seminar in Nutrition
and Food Science (1-3 credits)
For NFSC majors only. Repeatable to 3
credits. Formerly NUTR688.
This is a seminar course presentedy by NFSC
graduate students and invited speakers in the
field of nutrition and food science.
NFSC 690 Nutrition and Aging (3
credits)
Prerequisite: NFSC440, BSC1440 or
equivalent. Not open to students who have
completed NFSC678E.
Explore the nutrition needs of older adults and
examine the potential impact of the
physiological, social and psychological
changes that occur with aging on the needs.
NFSC 698 Colloquium in Food
Science (1 credits)
Formerly FDSC698.
Oral reports on special topics or recently
published research in food science and
technology. Distinguished scientists are
invited as guest lecturers. A maximum of three
credits allowed for the M.S.
NFSC 699 Problems in Nutrition
and Food Science (1-4 credits)
Prerequisite: CHEM 461 or permission of
department. Formerly FDSC 699 and
NUTR 699.
Credit according to time scheduled and
magnitude of problem. An experimental
program on a topic other than the student's
thesis problem will be conducted. Four credits
shall be the maximum allowed toward an
advanced degree.
NFSC 799 Master's Thesis
Research (1-6 credits)
Formerly FDSC 799, NUSC 799 and
NUTR 799.
First and second semesters. Credit in
proportion to work done and results
accomplished. Investigation in some phases of
foodservice administration which may form
the basis of a thesis, results in the form of a
thesis.
NFSC 898 Pre-Candidacy
Research (1-8 credits)
Formerly NUSC898.
First and second semesters. Oral reports on
special topics or recently published research in
nutrition. Distinguished scientists are invited
as guest lecturers. A maximum of three credits
allowed for the M.S.
NFSC 899 Doctoral Dissertation
Research (1-8 credits)
Formerly FDSC 899, NUSC 899, and
NUTR 899.
Natural Resources
Sciences (NRSC)
NRSC 601 Plant Genomics (3
credits)
Not open to students who have completed
AGRO 601 . Credit will be granted for only
one of the following: AGRO 601 or NRSC
601. Formerly AGRO601.
An advanced course in plant genomics which
is the study of genes of plant chromosomes. It
will cover current topics in gene mapping,
molecular markers, QTLs, gene sequencing,
and genetic engineering with special focus on
agriculturally important traits.
NRSC 602 Advanced Crop
Breeding II (2 credits)
Prerequisites: NRSC 601 and a graduate
statistics course. Not open to students who
have completed AGRO 602. Credit will be
granted for only one of the following:
AGRO 602 or NRSC 602. Formerly
AGRO602.
Quantitative inheritance in plant breeding
including genetic constitution of a population,
continuous variation, estimation of genetic
variances, heterosis and inbreeding,
heritability, and population movement.
NRSC 608 Research Methods (1-
4 credits)
Prerequisite: permission of department.
Repeatable to 4 credits if content differs.
Credit will be granted for only one of the
following: AGRO 608 or NRSC 608.
Formerly AGRO608.
Development of research viewpoint by
detailed study and report on crop and soil
research of the Maryland Agriculture
Experiment Station or review and discussion
of literature on specific agricultural problems
or new research techniques.
NRSC 609 Integrated Pest
Mangement (1-4 credits)
Three hours of lecture and three hours of
laboratory per week. Prerequisite:
permission of instructor. Repeatable to 10
credits if content differs. Also offered as
ENTM 609. Credit will be granted for only
one of the following: ENTM 609 or NRSC
609.
A modular course with an interdisciplinary
approach to the theory and practice of
integrated pest management. Topics of
modules, each 3-4 weeks long, vary each
semester over a three year time frame, with the
first module serving as a prerequisite for all
other modules. See
www.EntmClasses.umd.edu for description of
modules.
NRSC 682 Methods of Plant
Science Research (4 credits)
Two hours of lecture and four hours of
laboratory per week. Credit will be granted
for only one of the following: HORT 682
or NRSC 682. Formerly HORT682.
The application of biochemical and
biophysical methods to problems in biological
research with emphasis on plant materials.
NRSC 685 Advanced Plant
Ecophysiology (3 credits)
Prerequisite: One course in plant
physiology..
Growth, productivity and survival are
intimately linded to a plant's ability to adjust to
its environment. The information provided in
this course is designed to provide an
introduction to the basic physical and
psysiological principles necessary for
understanding the interactions between plants
and their environment. The overall objective
of this course is to understand plant responses
and adaptations to the environment and the
ecological relevance of these responses.
NRSC 689 Special Topics (1-3
credits)
Repeatable to 6 credits if content differs.
Credit will be granted for only one of the
following: HORT 689 or NRSC 689.
Formerly HORT689.
Credit according to time scheduled and
organization of the course. Organized as a
lecture series on a specialized advanced topic.
NRSC 722 Advanced Soil
Chemistry (3 credits)
Prerequisites: AGRO 302 and permission
of both department and instructor.
A continuation of AGRO 42 1 with emphasis
on soil chemistry of minor elements necessary
for plant growth.
NRSC 761 Methods in
Pedological Investigations (4
credits)
Two hours of lecture and six hours of
laboratory per week. Prerequisite: NRSC
414 (AGRO 414) or permission of
department.
This is designed to equip students with
analytical tools for soil microfabric and
mineralogical analysis in order to understand
soil properties and processes. A number of
techniques will be discussed, but emphasis
will be placed on micro mo rp ho logy and x-ray
diffractometry. Both theoretical and applied
considerations will be convered, and students
will gain substantial hands on experience in
collecting and interpreting data germane to
their research interests.
NRSC 789 Advances in
Research (1-4 credits)
Repeatable to 4 credits if content differs.
Credit will be granted for only one of the
following: AGRO 789 or NRSC 789.
A study of recent advances in agronomy
research.
NRSC 798 Graduate Seminar (1
credits)
Repeatable to 6 credits. Credit will be
granted for only one of the following:
AGRO 798, HORT 798 or NRSC 798.
First and second semester.
NRSC 799 Master's Thesis
Research (1-6 credits)
NRSC 821 Advanced Methods of
Soil Investigation (3 credits)
Prerequisites: AGRO 302; permission of
both department and instructor.
First semester, alternate years. An advanced
study of the theory of the chemical methods of
soil investigation with emphasis on problems
involving application of physical chemistry.
NRSC 831 Soil Mineralogy (4
credits)
Soil minerals, with emphasis on clay minerals,
are studied from the viewpoint of soil genesis
and physical chemistry. Mineralogical
analyses by x-ray and chemical techniques.
NRSC 832 Advanced Soil
Physics (3 credits)
Prerequisites: AGRO 417; and permission
of both department and instructor.
An advanced study of physical properties of
soils.
NRSC 898 Pre-Candidacy
Research (1-8 credits)
Plant Biology (PBIO)
PBIO 689 Advanced Topics in
Plant Biology (1-4 credits)
Prerequisite: permission of department.
Repeatable four times if content differs.
Formerly BOTN689.
494
Lectures, experimental courses and other
special instructions in various subjects in plant
biology.
PBIO 698 Seminar in Plant
Biology (1 credits)
Prerequisite: permission of department.
Formerly BOTN698.
Discussion of special topics and current
literature in all phases of botany.
PBIO 699 Special Problems in
Plant Biology (1-3 credits)
Formerly BOTN699.
Credit according to time scheduled and
organization of course. Maximum credit
towards an advanced degree for the individual
student at the discretion of the student's
advisor. This course emphasizes research on a
specialized advanced topic and may consist
primarily of experimental procedures under
the direction of visiting lecturers or resident
faculty.
PBIO 710 Plant Membrance
Physiology (2 credits)
Prerequisites: PBIO 420; and PBIO 410 or
equivalent. Formerly BOTN684.
Biochemical and biophysical approaches to
plant membrane structure and function.
PBIO 727 Methods in Plant
Tissue Culture (2 credits)
Prerequisite: permission of both department
and instructor. Formerly BOTN620.
A methodology and techniques course
designed to give the student background and
experience in plant tissue culture.
PBIO 730 Techniques in
Microscopy (4 credits)
Two hours of lecture and six hours of
laboratory per week. Prerequisite:
permission of instructor. Recommended:
PBIO 400. Formerly BOTN656.
Preparation of biological materials for
observation with the light microscope.
PBIO 740 Plant Population
Biology (3 credits)
Prerequisite: PBIO 445 or permission of
instructor. Formerly BOTN687.
An examination of current theoretical and
empirical research covering topics such as
demography, reproductive strategy, clonality,
seed banks, interspecific competition and
plant- herbivore interactions.
PBIO 799 Master's Thesis
Research (1-6 credits)
Formerly BOTN799.
PBIO 898 Pre-Candidacy
Research (1-8 credits)
PBIO 899 Doctoral Dissertation
Research (1-8 credits)
Formerly BOTN899.
Persian (PERS)
PERS 401 Persian Composition
(3 credits)
Prerequisite: PERS302 or permission of
department.
A genre approach to writing, focusing on how
and why different texts are structured and
written as they are. The purpose, context, and
intended audience for written communication
will guide the writing tasks conducted in and
out of class. Students analyze and investigate a
variety of purposes and audiences of particular
relevance to Persian flagship students. Taught
in Persian.
PERS 411 Readings in Iranian
Islam (3 credits)
Prerequisite: permission of department.
In-depth study of Iranian Islam via Islamic
texts. Develops competency in speaking,
reading, writing, and listening comprehension
at advanced level. Taught in Persian.
PERS 441 Islam in Iran (3
credits)
Advent and development of Islamic culture in
Iran. Taught in English.
PERS 452 Modern Persian
Literature: A Survey (3 credits)
Prerequisite: permission of department.
Surveys development of poetry and prose in
the Persian-speaking world in modern times.
Periods and genres. Content varies. Mastery of
Persian is required.
PERS 498 Special Topics in
Persian Studies (3 credits)
Prerequisite: permission of instructor.
Repeatable to 9 credits if content differs.
Topic and language to be announced when
offered.
PERS 601 Modern Persian
Literature (3 credits)
Prerequisite: permission of department.
Selected readings in Persian poetry, fiction and
drama covering topics related to contemporary
Iranian society and culture. In Persian.
PERS 611 Practicum in
Translation and Interpreting (3
credits)
Prerequisite: permission of department.
Analysis, translation, and interpreting of
literary, expository, and oral texts, mainly
from English to Persian. Focus on
interdependence of language, context and
culture. In Persian and English.
PERS 632 Film and Popular
Culture in the Persian-speaking
World (3 credits)
Study of socio-cultural, political and identity
issues in the Persian-speaking world as seen
through visual media, primarily film. In
Persian. This section is only open to students
in the Persian Language program. Please visit
http://www.oes.umd.edu/index.php?slab=persi
an-language for more information.
PERS 641 Iranian Media and
International Relations (3
credits)
Prerequisite: permission of department.
Political interests and diplomatic positions of
Iran in the contemporary international context
as reflected in its print and electronic media. In
Persian.
PERS 642 Political Issues in
Contemporary Iran (3 credits)
Analysis of current political context in Iran;
impact of modernization and westernization.
In Persian.This section is only open to students
in the Persian Language program. Please visit
http://www.oes.umd.edu/index
.php?slab=persian-language for more
information.
PERS 662 Persian
Sociolinguistics (3 credits)
Prerequisite: permission of department.
Study of impact of social and regional factors
on spoken and written usage. In Persian.
PERS 672 Persian Culture and
Commerce (3 credits)
Major issues in Iranian business culture;
relations between state and private sector;
effects of globalization. In Persian. This
section is open to students in the Persian
Language program. Please visit
http://www.oes.umd.edu/index.php?slab=persi
an-language for move information.
PERS 689 Special Topics in
Persian Studies (3 credits)
Prerequisite: permission of department.
Repeatable to 12 credits if content differs.
In-depth analysis of a particular aspect of
Persian studies. In Persian.
Philosophy (PHIL)
PHIL 407 Gay and Lesbian
Philosophy (3 credits)
An examination in historical and social
context of personal, cultural, and political
aspects of gay and lesbian life, paying
particular attention to conceptual, ontological,
epistemological, and social justice issues.
PHIL 408 Topics in
Contemporary Philosophy (3
credits)
Repeatable if content differs.
An intensive examination of contemporary
problems and issues. Source material will be
selected from recent books and articles.
PHIL 412 The Philosophy of
Plato (3 credits)
Prerequisite: nine credit hours in
philosophy.
A critical study of selected dialogues.
PHIL 414 The Philosophy of
Aristotle (3 credits)
Prerequisite: three courses in philosophy.
A critical study of selected portions of
Aristotle's writings.
PHIL 416 Medieval Philosophy
(3 credits)
Prerequisite: six credit hours in
philosophy.
A study of philosophical thought from the
fourth to the fourteenth centuries. Readings
selected from Christian, Islamic, and Jewish
thinkers.
PHIL 417 The Golden Age of
Jewish Philosophy (3 credits)
Prerequisite: three credit hours in
philosophy or permission of department.
Also offered as JWST452. Not open to
students who have completed JWST452.
Credit will be granted for only one of the
following: JWST452 or PHIL417.
Jewish philosophy from Maimonides in the
12th century to the expulsion of the Jews from
Spain at the end of the 15th century. Topics
include the limitations of human knowledge,
creation of the world, foreknowledge and free
will, and the existence of God.
PHIL 424 The Philosophy of
Spinoza (3 credits)
Prerequisite: three courses in philosophy or
permission of department. Also offered as
JWST453. Not open to students who have
completed JWST453. Credit will be
granted for only one of the following:
JWST453 OR PHIL424.
An investigation of the metaphysical, ethical
and political thought of the 17th century
philosopher Benedict Spinoza.
PHIL 426 Twentieth Century
Analytic Philosophy (3 credits)
495
Prerequisite: permission of department.
Senior standing. Credit will be granted for
only one of the following: PHIL326 or
PHIL426. Formerly PHIL326.
Major issues in twentieth century analytic
philosophy examined through such
philosophers as Frege, Russell, Carnap, Moore
and Wittgenstein.
PHIL 427 Wittgenstein (3
credits)
Prerequisite: six credit hours in philosophy
or permission of department.
The early and late works of Wittgenstein:
atomism, logic, and the picture theory in the
Tractatus; roles, meaning, criteria, and the
nature of mental states in the Philosophical
Investigations and other posthumous writings.
PHIL 428 Topics in the History
of Philosophy (3 credits)
Prerequisites: PHIL310 and PHIL320; or
permission of department. Repeatable if
content differs.
PHIL 431 Aesthetic Theory (3
credits)
Prerequisite: nine credits in philosophy or
permission of department.
Study of the theory of the aesthetic as a mode
of apprehending the world and of the theory of
criticism, its conceptual tools and intellectual
presuppositions.
PHIL 440 Contemporary Ethical
Theory (3 credits)
Prerequisite: PH1L341 or permission of
instructor.
Contemporary work on fundamental problems
in ethical theory, such as whether there are
moral truths, whether and how our moral
claims can be justified, what exactly makes an
act right or wrong, the nature of moral
language, and the role of reason and emotion
in moral judgment.
PHIL 445 Contemporary Political
Philosophy (3 credits)
Prerequisite: three credit hours in
philosophy or political theory or permission
of department. Sophomore standing.
Major trends in contemporary political
philosophy: liberal, libertarian,
communitarian, socialist, feminist.
PHIL 446 Law, Morality, and War
(3 credits)
Prerequisite: GVPT300, GVPT401,
PHIL341, or permission of department.
Also offered as GVPT403.
An exploration of fundamental moral and legal
issues concerning war.
PHIL 454 Philosophy of Space
and Time (3 credits)
Prerequisite: six credit hours in philosophy.
Senior standing.
A non-technical investigation of philosophical
issues in the foundations of physics. Topics
may include traditional philosophical
problems of space and time, metaphysical
issues about the nature of particles and fields,
and philosophical problems associated with
the introduction of probability into physics,
such as the problem of irreversibility in
thermodynamics and the problem of
objectivity in quantum theory.
PHIL 456 Philosophy of Biology
II (3 credits)
Prerequisite: PHIL250 or PHIL256 or a
Life Science major or permission of
department.
Questions about concepts, reasoning,
explanation, etc., in biology, and their
relations to those of other areas of science.
Case studies of selected aspects of the history
of biology, especially in the twentieth century.
PHIL 458 Topics in the
Philosophy of Science (3
credits)
Prerequisite: PHIL250 or permission of
department; when the topic for a given
semester demands, additional philosophical
or scientific prerequisites may be required
by the instructor. Repeatable to 6 credits if
content differs.
A detailed examination of a particular topic or
problem in philosophy of science.
PHIL 469 Study Abroad Special
Topics IV (1-6 credits)
Repeatable to 15 credits if content differs.
Special topics course taken as part of an
approved study abroad program.
PHIL 470 Logical Theory (3
credits)
Prerequisite: PHIL370 or permission of
instructor.
This course will treat a selection of the most
important topics in modern logic: alternative
proof-theoretic presentations of logical
systems, completeness proofs for classical
propositional and first-order logic, some basic
computability theory, basic limitative results
(such as Godel's incompleteness theorems),
and some results concerning second-order
logic. The primary focus of the course is a
study of these fundamental topics, but we will
also discuss some of the philosophical issues
they raise.
PHIL 478 Topics in
Philosophical Logic (3 credits)
Prerequisite: PHIL370 or permission of
instructor. Recommended: PHIL470.
Repeatable to 9 credits if content differs.
Methods and results of philosophical logic, the
application of logical techniques to the study
of concepts or problems of philosophical
interest. Content will vary, either treating a
particular logical area in detail— such as modal
logic, conditional logic, deontic logic,
intuitionistic or relevance logic, theories of
truth and paradox— or surveying a number of
these different areas.
PHIL 481 Philosophy of
Psychology: Representation (3
credits)
Prerequisite: six credit hours in philosophy;
one of which must be PHIL280 or
PHIL366.
Semantics and representations within
computational framework: intentionality,
explicit vs. implicit representation, syntax vs.
semantics of thought, connectionist
approaches, images, classical vs. prototype
theories of concepts.
PHIL 482 Philosophy of
Psychology: Subjectivity (3
credits)
Prerequisite: six credit hours in philosophy;
one of which must be PH1L280 or
PHIL366.
The nature of subjectivity: problems of "point
of view," the "qualities" or "feel" of things,
emotions, consciousness - whether these
phenomena can be captured by a
computational theory of mind.
PHIL 484 Philosophy of Action
(3 credits)
Prerequisite: PHIL282 and two upper-level
PHIL courses; or PHIL310 and two PHIL
courses; at least one at the upper level.
Reading in philosophy of action on topics such
as: the nature and causes of action, practical
reasons and rationality, self-control, weakness
of will, freedom of action, free will, emotions
and other sources of motivation.
PHIL 485 Philosophy of
Neuroscience (3 credits)
Prerequisite: six credit hours in philosophy,
one of which should be PHIL250,
PHIL256, PHIL280, or PHIL366; or
permission of department.
Philosophical and methodological issues
relating to brain science, including: the place
of neuroscience in cognitive science, the
nature of mental representation and processing
in brains, bounded-resonance models in
neuroanatomy and neurophysiology.
PHIL 488 Topics in Philosophy
of Cognitive Studies (3 credits)
Prerequisite: three credit hours in
philosophy or permission of department.
Repeatable to 9 credits if content differs.
Examination of a particular topic or problem
in philosophy of cognitive studies.
PHIL 489 Undergraduate
Seminar in Philosophy (3-6
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
An intensive examination of a philosophical
topic or topics.
PHIL 498 Topical Investigations
(1-3 credits)
PHIL 640 Value Theory (3
credits)
Prerequisite: Graduate status in philosophy
or permission of department.
A basic course in value theory for beginning
graduate students, covering a number of topics
in depth, to provide a springboard for further
study and research in the area.
PHIL 651 Philosophy of Science
(3 credits)
Prerequisite: Graduate status in philosophy
or permission of department.
A basic course in philosophy of science for
beginning graduate students, covering a
number of topics in depth, to provide a
springboard for further study and research in
the area.
PHIL 660 Metaphysics, Mind,
and Language (3 credits)
Prerequisite: Graduate status in philosophy
or permission of department.
A basic course on selected issues in
metaphysics, philosophy of mind, and
philosophy of language for beginning graduate
students, covering a number of topics in depth,
to provide a springboard for further study and
research in the area.
PHIL 670 Epistemology (3
credits)
Prerequisite: Graduate status in philosophy
or permission of department.
A basic course in epistemology for beginning
graduate students, covering a number of topics
496
in depth, to provide a springboard for further
study and research in the area.
PHIL 688 Selected Problems in
Philosophy (1-3 credits)
Prerequisite: permission of instructor.
PHIL 788 Research in
Philosophy (1-6 credits)
Prerequisite: permission of advisor or chair
of tutorial-advisory committee. Repeatable
to 6 credits.
PHIL 798 Master's Level
Independent Study (1-3 credits)
Prerequisite: permission of instructor.
Repeatable to 06 credits if content differs.
Master's level independent study.
PHIL 799 Master's Thesis
Research (1-6 credits)
PHIL 808 Seminar in the
Problems of Philosophy (3
credits)
Prerequisite: permission of instructor.
PHIL 828 Seminar in the History
of Philosophy (3 credits)
Prerequisite: permission of instructor.
PHIL 838 Seminar in Aesthetics
(3 credits)
Prerequisite: permission of instructor.
PHIL 848 Seminar in Ethics (3
credits)
Prerequisite: permission of instructor.
PHIL 858 Seminar in Logic and
Philosophy of Sciences (3
credits)
Prerequisite: permission of instructor.
PHIL 859 Proseminar in the
Philosophy of Science (3
credits)
Prerequisite: permission of instructor.
Repeatable to 09 credits if content differs.
Seminar on the core areas of research in
philosophy of science, with the focus on a
theme currently generating attention in the
field.
PHIL 868 Seminar in
Metaphysics (3 credits)
Prerequisite: permission of instructor.
PHIL 869 Seminar in the Theory
of Knowledge (3 credits)
Prerequisite: permission of instructor.
PHIL 878 Seminar in Cognitive
Studies (3-9 credits)
Prerequisite: permission of department.
Repeatable to 9 credits if content differs.
Methodology and research in various
disciplines involved in cognitive studies.
PHIL 879 Seminar in Philosophy
and Cognitive Studies (3
credits)
Repeatable to 9 credits if content differs.
PHIL 888 Professional
Mentoring for Doctoral Students
(1-3 credits)
Prerequisite: permission of department.
Repeatable to 03 credits if content differs.
Work with a faculty advisor on various aspects
of professional development.
PHIL 889 Pedagogical Mentoring
for Doctoral Students (1-3
credits)
Prerequisite: permission of department.
Repeatable to 03 credits if content differs.
Work with a faculty advisor to develop and
improve pedagogical skills.
PHIL 898 Pre-Candidacy
Research (1-8 credits)
PHIL 899 Doctoral Dissertation
Research (1-8 credits)
Physics (PHYS)
PHYS 401 Quantum Physics I (4
credits)
Prerequisite: PHYS273. Corequisites:
PHYS374 and MATH240. Formerly
PHYS421.
Introduces some quantum phenomena leading
to wave-particle duality. Schroedinger theory
for bound states and scattering in one
dimension. One-particle Schroedinger
equation and the hydrogen atom.
PHYS 402 Quantum Physics II (4
credits)
Prerequisites: PHYS401, and PHYS374,
and MATH240.
Quantum states as vectors; spin and
spectroscopy, multiparticle systems, the
periodic table, perturbation theory, band
structure, etc.
PHYS 404 Introduction to
Statistical Thermodynamics (3
credits)
Prerequisites: PHYS273 or equivalent, and
MATH241.
Introduction to basic concepts in
thermodynamics and statistical mechanics.
PHYS 405 Advanced
Experiments (3 credits)
Prerequisite: PHYS375. For PHYS majors
only.
Advanced laboratory techniques. Selected
experiments from many fields of modern
physics. Emphasis on self-study of the
phenomena, data analysis, and presentation in
report form.
PHYS 407 Undergraduate
Experimental Research (3
credits)
Prerequisite: PHYS375, PHYS499A, and
permission of department. Senior standing.
For PHYS majors only.
Students develop and complete an
independent, experimental research project
with a professor in the Physics Department.
The project should be a continuation of work
done in PHYS499A. To obtain permission,
students must submit a proposal describing the
experimental work to be completed and this
proposal must be approved by their faculty
mentor, the associate chair for undergraduate
education and the chair of the laboratory
committee. Students must maintain a lab
notebook, give an oral presentation and
complete a written report on their research that
includes data and error analysis.
PHYS 410 Classical Mechanics
(4 credits)
Prerequisite: PHYS374.
Theoretical foundations of mechanics with
extensive application of the methods. Various
mathematical tools of theoretical physics.
PHYS 411 Intermediate
Electricity and Magnetism (4
credits)
Prerequisite: PHYS374.
Foundations of electromagnetic theory, with
extensive applications of the methods.
Thorough treatment of wave properties of
solutions of Maxwell's equations.
PHYS 420 Principles of Modern
Physics (3 credits)
Prerequisite: PHYS270 and PHYS271, or
PHYS273; and MATH246.
A survey of atomic and nuclear phenomena
and the main trends in modern physics.
Appropriate for students in engineering and
other physical sciences.
PHYS 426 Mathematica for
Scientists and Engineers (3
credits)
Prerequisite: PHYS270 and PHYS271, or
PHYS273; and MATH241.
Provides a working knowledge of the powerful
symbolic, numerical, and graphical tools
provided by Mathematica for problem solving
in science and engineering, and the ability to
use functional programming, pattern matching,
and rule sets for symbolic and numerical
computations. Intended for science and
engineering students who are currently taking
advanced undergraduate or graduate courses in
their field.
PHYS 428 Physics Capstone
Research (2-4 credits)
Prerequisite: permission of instructor.
Senior standing. For PHYS majors only.
Repeatable to 4 credits.
Individual, focused research under the
guidance of a faculty member. Discussion,
presentations and, if appropriate, research
group projects involved. Student must submit
final research paper for completion of course.
Paper may also serve as thesis required for
High Honors in Physics. Not intended as a
general "reading course" (see PHYS499).
PHYS 429 Atomic and Nuclear
Physics Laboratory (3 credits)
Prerequisite: PHYS405.
Classical experiments in atomic physics and
more sophisticated experiments in current
techniques in nuclear physics.
PHYS 431 Properties of Matter
(3 credits)
Prerequisites: MATH241; and (PHYS270
and PHYS271); and (PHYS 401 or
PHYS420). Also offered as ENMA460.
Credit will be granted for only one of the
following: ENMA460 or PHYS43 1 .
Introduction to solid state physics.
Electromagnetic, thermal, and elastic
properties of metals, semiconductors,
insulators and superconductors.
PHYS 441 Topics in Nuclear and
Particle Physics (3 credits)
Prerequisite: PHYS401 orPHYS402; and
PH YS4 1 1 ; or permission of instructor.
Corequisite: PHYS402.
A survey of concepts in particle and nuclear
physics, with a topical emphasis on the impact
of the Weak Interaction and the discovery of
Parity Violation.
PHYS 485 Electronic Circuits (4
credits)
Two hours of lecture and four hours of
laboratory per week. Prerequisite:
PHYS405. Corequisite: PHYS301 or
PHYS374.
Theory and application to experimental
physics of modern semiconductor analog and
497
digital circuits. Emphasis on understanding
passive and active elements in practical
circuits. Topics span the range from simple
transistor circuits to microcomputers.
PHYS 499 Special Problems in
Physics (1-16 credits)
For PHYS majors only.
Research or special study. Credit according to
work done.
PHYS 521 General Physics for
Science Teachers I (4 credits)
The first semester of a two-semester sequence
in physics stressing physical insight for
prospective secondary school science and
mathematics teachers. Designed to integrate
carefully lecture and laboratory and to serve as
a model for persons planning to teach physics
or physical science. Mathematics use will
include algebra, trigonometry, with occasional
references to calculus.
PHYS 522 General Physics for
Science Teachers II (4 credits)
Prerequisite: PHYS 521.
A continuation of PHYS 521.
PHYS 601 Theoretical Dynamics
(3 credits)
Prerequisite: PHYS 410 or equivalent.
Lagrangian and Hamiltonian mechanics, two-
body central force problem, rigid body motion,
small oscillations, continuous systems.
PHYS 603 Methods of Statistical
Physics (3 credits)
Prerequisite: PHYS 414 or equivalent.
Credit will be granted for only one of the
following: PHYS 602 or PHYS 603.
Foundations and applications of
thermodynamics and statistical mechanics.
PHYS 604 Methods of
Mathematical Physics (3
credits)
Prerequisites: {advanced calculus; and
PHYS 410; and PHYS 41 1 }; or
equivalent.
Ordinary and partial differential equations of
physics, boundary value problems, Fourier
series, Green's functions, complex variables
and contour integration.
PHYS 606 Electrodynamics (4
credits)
Prerequisite: PHYS 604 or equivalent.
Classical electromagnetic theory, electro- and
magnetostatics, Maxwell equations, waves and
radiation, special relativity.
PHYS 615 Nonlinear Dynamics
of Extended Systems (3 credits)
Prerequisite: PHYS 601.
Theory and applications of nonlinear dynamics
of extended systems including nonlinear
waves, pattern formation, turbulence, self-
organized criticality and networks. Additional
topics to be selected by instructor from areas
of current research.
PHYS 621 Graduate Laboratory
(3 credits)
Six hours of laboratory per week.
Prerequisite: PHYS 405 or equivalent.
Design and performance of advanced
experiments in modern and classical physics.
PHYS 622 Introduction to
Quantum Mechanics I (4
credits)
Prerequisite: an outstanding undergraduate
background in physics.
First and second semesters. A study of the
Schroedinger equation, matrix formulations of
quantum mechanics, approximation methods,
scattering theory, etc. Applications to solid
state, atomic, and nuclear physics.
PHYS 623 Introduction to
Quantum Mechanics II (3
credits)
Prerequisite: an outstanding undergraduate
background in physics.
First and second semesters. A study of the
Schroedinger equation, matrix formulations of
quantum mechanics, approximation methods,
scattering theory etc., and applications to solid
state, atomic, and nuclear physics.
Continuation of PHYS 622.
PHYS 624 Advanced Quantum
Mechanics (3 credits)
Prerequisite: PHYS 623.
Relativistic wave equations, second
quantization in many body problems and
relativistic wave equations, Feynman-Dyson
perturbation theory, applications to many body
problems, application to quantum
electrodynamics, elements of renormalization.
PHYS 625 Non-relativistic
Quantum Mechanics (3 credits)
Prerequisite: PHYS 623.
Non-relativistic second quantization, single
particle Green's function, perturbation theory,
linked cluster expansion, Feynman and
Goldstone diagrams; applications to imperfect
Fermi gases; superconductivity.
PHYS 675 Introduction to
Relativity, Gravitation and
Cosmology (3 credits)
Prerequisites: PHYS 601 and PHYS 606.
Review of special relativity, followed by a
study of the equivalence principle, curved
spacetimes, and Einstein's equations. Selected
applications to the solar system, stellar
structure, black holes, gravitational waves, and
cosmology.
PHYS 685 Research Electronics
(4 credits)
Prerequisite: equivalent of PHYS405 and
PHYS301 or PHYS374 not open to
undergraduate students who have
completed PHYS485. Credit will be
granted for only one of the following:
PHYS485orPHYS685.
An integrated lecture and laboratory course in
electronics with equal emphasis on
experimental methods and results and analysis
using device models and up-to-date
mathematical and numerical tools.
Experiments and analysis of circuits with
passive and single active devices form the
background for the study of operational
amplifiers, digital integrated circuits and
systems, and microcomputers. The general
topics of impedance matching, frequency
response, feedback, interfacing and the
extraction of signal from noise are stressed.
PHYS 704 Statistical Mechanics
(3 credits)
Prerequisites: PHYS 41 1 ; and PHYS 602.
A study of the determination of behavior of
matter from microscopic models.
Microcanonical, canonical, and grand
canonical models. Applications of solid state
physics and the study of gases.
PHYS 708 Seminar in Teaching
College Physics (1 credits)
PHYS 709 Seminar in General
Physics (1 credits)
PHYS 711 Symmetry Problems
in Physics (3 credits)
Prerequisite: PHYS 623.
A study of general methods of classification of
physical systems by their symmetries and
invariance properties, especially in quantum
field theory applications.
PHYS 715 Chaotic Dynamics (3
credits)
Prerequisite: PHYS 601.
Theory and applications of chaos in dynamical
systems including such topics as strange
attractors, Lyapanov exponents,
quasiperiodicity, period doubling,
intermittency, crises, fractal basin boundaries,
chaotic scattering, KAM tori, and quantum
chaos.
PHYS 718 Seminar in General
Physics (1 credits)
PHYS 719 Seminar in General
Physics (1 credits)
PHYS 721 Atomic and Optical
Physics I (Survey) (3 credits)
Prerequisite: PHYS 623. 3 semester hours.
A survey of topics involving the physics of
atoms and their interaction with radiation,
including atoms in external fields, lasers,
atomic spectroscopy and atomic structure.
PHYS 726 Research Group
Rotation in Quantum Optical
Information (2 credits)
Prerequisite: permission of department.
The purpose of this course is to familiarize
students with the research groups carrying out
research on quantum optical information in the
Physics Department. Students spend the
semester in two half-semester rotations,
working with two different groups in the
physics department that are actively involved
with quantum information using optics. They
should plan to be at the University at least
three hours a week. At the end of each rotation
they make a public presentation and prepare a
web page with their results.
PHYS 728 Seminar in Atomic
and Molecular Physics (1
credits)
PHYS 731 Solid State Physics:
Survey (3 credits)
A variety of topics such as crystal structure,
mechanical, thermal, electrical, and magnetic
properties of solids, band structure, the Fermi
surface, and superconductivity will be treated.
Although the emphasis will be on the
phenomena, the methods of quantum
mechanics are freely employed in this
description.
PHYS 732 Introduction to Solid
State Physics II (3 credits)
Prerequisite: PHYS 731.
Second semester of survey course in
condensed matter physics including topics in
semiconductors, surface physics, magnetism
and superconductivity.
PHYS 738 Seminar in
Experimental Solid State
Physics (1 credits)
PHYS 739 Seminar in
Theoretical Solid State Physics
(1 credits)
498
PHYS 741 Nuclear Physics:
Survey (3 credits)
Prerequisites: PHYS 622; and PHYS 623.
An introductory survey of nuclear physics,
including the following topics: properties of
the two-nucleon force and the most popular
phenomenological potentials; properties of
nuclei including radii, shapes and charge
distributions; introduction to nuclear structure
models, including collective, independent
particle, and shell model; basic features of
radioactivity including weak interactions and
alpha decay; introduction to nuclear reactions,
including phenomenological optical potentials
and distorted wave approximations.
PHYS 748 Seminar in
Experimental Nuclear Physics (1
credits)
PHYS 749 Seminar in
Theoretical Nuclear Physics (1
credits)
PHYS 751 Elementary Particle
Physics I: Survey (3 credits)
Corequisite: PHYS624.
Nuclear forces are studied by examining
interactions at high energies. Meson physics,
scattering processes, and detailed analysis of
high energy experiments.
PHYS 752 Elementary Particle
Physics II: Theory (3 credits)
Prerequisites: {PHYS 624; and PHYS
751).
Survey of elementary particles and their
properties, quantum field theory, meson
theory, weak interactions, possible extensions
of elementary particle theory.
PHYS 758 Seminar in
Elementary Particles and
Quantum Field Theory (1
credits)
PHYS 759 Seminar in
Elementary Particles and
Quantum Field Theory (1
credits)
PHYS 761 Plasma Physics I:
Survey (3 credits)
Prerequisites: {PHYS 604; and PHYS
606).
A detailed study of plasma physics. The first
semester treats particle orbit theory,
magnetohydrodynamics, plasma waves, and
transport phenomena.
PHYS 762 Plasma Physics II (3
credits)
Continuation of PHYS 761. Vlasov theory,
including waves, stability, and weak
turbulence, kinetic equation theories of
correlations and radiative processes.
PHYS 769 Seminar in Plasma
Physics (1 credits)
PHYS 776 Advanced Gravitation
Theory (3 credits)
Prerequisites: PHYS 623 and PHYS 675.
Advanced topics in gravitation theory selected
from Lagrangian and Hamiltonian
formulations, geometric methods, symmetries
of space times, black holes, singularity
theorems, quantum effects in curved space,
early universe, quantum gravity, and unified
theories.
PHYS 778 Seminar in Space and
Cosmic Ray Physics (1 credits)
PHYS 779 Seminar in General
Relativity (1 credits)
PHYS 798 Special Problems in
Advanced Physics (1-3 credits)
Projects or special study in advanced physics.
PHYS 799 Master's Thesis
Research (1-6 credits)
PHYS 808 Special Topics in
General Physics (1-4 credits)
Credit according to work done.
PHYS 809 Special Topics in
General Physics (1-4 credits)
Credit according to work done.
PHYS 818 Special Topics in
General Physics (1-4 credits)
Credit according to work done.
PHYS 819 Special Topics in
General Physics (1-4 credits)
Credit according to work done.
PHYS 828 Special Topics in
Atomic and Molecular Physics
(1-4 credits)
Credit according to work done.
PHYS 829 Special Topics in
Quantum Mechanics and
Quantum Electronics (1-4
credits)
Credit according to work done.
PHYS 832 theory of Solids I (3
credits)
Prerequisite: PHYS 623. Corequisite:
PHYS 625.
Advanced topics in the quantum theory of
solids from such fields as band structure
calculations, optical properties, phonons,
neutron scattering, the dynamics of electrons
in one-band theory, the Landau Fermi Liquid
Theory, charged Fermi liquids, the Fermi
surface (surface impedance, cyclotron
resonance, the DeHaas-Van Alphen Effect,
etc.).
PHYS 838 Special Topics in
Experimental Solid State
Physics (1-4 credits)
Credit according to work done.
PHYS 839 Special Topics in
Theoretical Solid State Physics
(1-4 credits)
Credit according to work done.
PHYS 849 Special Topics in
Theoretical Nuclear Physics (1-4
credits)
Credit according to work done.
PHYS 851 Advanced Quantum
Field Theory (3 credits)
Prerequisite: PHYS 624.
Renormalizations of Lagrangian field theories,
Lamb shift, positronium fine structure, T. C. P.
Invariance, connection between spin and
statistics, broken symmetries in many body
problems, soluble models, analyticity in
perturbation theory, simple applications of
dispersion relations.
PHYS 858 Special Topics in
Elementary Particles and
Quantum Field Theory (1-4
credits)
Prerequisites: PHYS 851 and PHYS 752.
First semester.
PHYS 859 Special Topics in
Elementary Particles and
Quantum Field Theory (1-4
credits)
Credit according to work done.
PHYS 869 Special Topics in
Plasma Physics (1-4 credits)
Credit according to work done.
PHYS 878 Special Topics in
Space and Cosmic Ray Physics
(1-4 credits)
Credit according to work done.
PHYS 879 Special Topics in
General Relativity (1-4 credits)
Credit according to work done.
PHYS 888 Special Topics in
Applied Physics (2 credits)
PHYS 889 Special Topics in
Interdisciplinary Problems (1-4
credits)
Prerequisite: permission of instructor.
Credit according to work done.
PHYS 898 Pre-Candidacy
Research (1-8 credits)
PHYS 899 Doctoral Dissertation
Research (1-8 credits)
Plant Sciences
(PLSC)
PLSC 400 Environmental Plant
Physiology (4 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
PLSC100orPLSC101;or(BSCI105and
BSCI106). Recommended:
CHEM131/CHEM132. Junior standing.
Not open to students who have completed
NRSC401. Formerly NRSC401.
An introduction to the basic physical and
physiological principles necessary for
understanding the interactions between plants
and their environment. The overall objective is
to understand plant responses and adaptions to
the environment and the ecological relevance
of these responses.
PLSC 401 Pest Management
Strategies for Turfgrass (3
credits)
Prerequisite: PLSC305.
Interdisciplinary view of weed, disease, and
insect management from an agronomy
perspective. Plant responses to pest invasion,
diagnosis of pest-related disorders, and
principles of weed, disease and insect
suppression through cultural, biological and
chemical means are discussed.
PLSC 402 Sports Turf
Management (3 credits)
Two hours of lecture and three hours of
laboratory per week. Prerequisite:
PLSC305andPLSC401.
Sports turf management, including design,
construction, soil modification, soil cultural
techniques, pesticide use, fertilization, and
specialized equipment.
PLSC 403 Crop Breeding (3
credits)
Pre- or corequisite: BSCI222 or equivalent
or permission of department.
A review of genetic principles and
descriptions of contemporary and traditional
methods of breeding self-pollinated, cross-
pollinated, and vegetatively propagated crop
plants.
499
PLSC 406 Forage Crops (3
credits)
Prerequisite: BSCI105. Recommended:
BSCI106.
World grasslands and their influence on early
civilizations; current impact on human food
supply; role of forages in soil conservation and
a sustainable agriculture. Production and
management requirements of major grass and
legume species for silage and pasture for
livestock feed. Cultivar development, certified
seed production and distribution.
PLSC 407 Advanced Crop
Science (3 credits)
Prerequisite: BSCI105 and PLSC101.
A study of principles of production for forage
crops, corn, small grains, rice, millets,
sorghums, soybeans and other oil seed crops.
Their seed production, processing, distribution
and the current federal and state seed control
programs for these agronomic crops will also
be discussed.
PLSC 410 Commercial Turf
Maintenance and Production (3
credits)
Two hours of lecture and three hours of
laboratory per week. Prerequisite:
PLSC305 or permission of department.
Agronomic programs and practices used in
hydroseeding, commercial lawn care, sod
production and seed production. Current
environmental, regulatory and business
management issues confronting the turfgrass
industry.
PLSC 415 Diseases of Trees and
Shrubs (3 credits)
Prerequisite: PLSC100, PLSC201, or
permission of instructor. Credit will be
granted for only one of the following:
PLSC415 orPLSC489E. Formerly
PLSC489E.
Diseases on woody plants commonly planted
or native to Mid- Atlantic region. Biology,
identification and management of important
plant pathogens.
PLSC 420 Principles of Plant
Pathology (4 credits)
Three hours of lecture and three hours of
laboratory per week. Prerequisite:
CHEM131 and CHEM132; and PLSC201
or equivalent. Not open to students who
have completed NRSC410. Formerly
NRSC410.
An introduction to the causal agents, nature
and management of plant diseases with
particular attention paid to economically
important diseases of horticultural and
agronomic crops.
PLSC 425 Green Roofs and
Urban Sustainability (1 credits)
Credit will be granted for only one of the
following: PLSC425 orPLSC489V.
Formerly PLSC489V.
The integration of disciplines associated with
sustainability issues. Topics range from plant
science to design to policy, all of which can
contribute to improving the urban
environment.
PLSC 430 Water and Nutrient
Planning for the Nursery and
Greenhouse Industry (3 credits)
Two hours of lecture and three hours of
discussion/recitation per week.
Prerequisite: CHEM131 and CHEM132; or
ENST200; or permission of instructor.
Recommended: PLSC456 or PLSC432.
Not open to students who have completed
NRSC400. Credit will be granted for only
one of the following: NRSC400 or
PLSC430. Formerly NRSC400.
Skills will be developed in order to write
nutrient management plans for the greenhouse
and nursery industry. Completion of this
course can lead to professional certification in
nutrient planning by the State of Maryland
after MDA examinations are passed.
PLSC 432 Greenhouse Crop
Production (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
PLSC201 (formerly NRSC201) and
PLSC202. Pre- or corequisite: BSCI442.
The commercial production and marketing of
ornamental plant crops under greenhouse,
plastic houses and out-of-door conditions.
PLSC 433 Technology of Fruit
and Vegetable Production (4
credits)
Three hours of lecture and three hours of
laboratory per week. Prerequisite:
PLSC201, PLSC202, PLSC271, and
NRSC411 or equivalent. Corequisite:
BSCI442. Recommended: ENST200 or
equivalent. Junior standing. Credit will be
granted for only one of the following:
NRSC411 orPLSC433.
A critical analysis of research work and
application of the principles of plant
physiology, chemistry and botany to practical
problems in the commercial production of fruit
and vegetable crops.
PLSC 452 Environmental
Horticulture (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisites:
(PLSC100 or PLSC101), PLSC253 and
PLSC254.
Environmental horticulture principles used in
the establishment and maintenance of plant
materials in residential and commercial
landscapes will be addressed. The effect of
soil conditions, environmental factors, and
commercial practices will be discussed in
relation to the growth and development of
newly-installed plant materials. Field
diagnostics will be used by students to assess
significant problems of plant decline.
Environmental sustainability will be combined
with current commercial practices of storm
water management, nutrient management, and
irrigation management to achieve an integrated
approach to plant management.
PLSC 453 Weed Science (3
credits)
Two hours of lecture and three hours of
laboratory per week.
Weed identification, ecology, and control
(cultural, mechanical, biological, and chemical
methods).
PLSC 460 Application of
Knowledge in Plant Sciences (3
credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
PLSC 1 00 or PLSC 10 1 ; or permission of
instructor. Recommended: ENST200,
ENGL393 or equivalent and PLSC389 or
PLSC399. Senior standing in Plant
Sciences major or in another related major.
A capstone course based on interactions with
plant science professionals and student-led
class discussions. Students will apply their
knowledge and experience to practical issues
in the discipline, further develop critical
thinking ability, and enhance their
communication, teamwork, and professional
skills. Topics will include nutrient
management, integrated pest management,
plant interactions with urban and rural
ecosystems, planning of public grounds, plant
biotechnology, and teaching skills.
PLSC 461 Cultural Management
of Nursery and Greenhouse
Systems: Substrates (1 credits)
Credit will be granted for only one of the
following: PLSC461 orPLSC489T.
Formerly PLSC489T.
One of four 1 -credit modules covering the
management techniques used in the intensive
culture of plants in commercial operations.
Specifically, this module covers the
composition, handling, physical and chemical
properties of substrates and how they should
be managed to maximize plant growth. Course
material is delivered primarily online, but a
four hour face-to-face lecture/lab will be held
at the end of the module.
PLSC 462 Cultural Management
of Nursery and Greenhouse
Systems; Irrigation (1 credits)
Credit will be granted for only one of the
following: PLSC462 and PLSC489W.
Formerly PLSC489W.
One of four 1 -credit modules covering the
management techniques used in the intensive
culture of plants in commercial operations.
Specifically, this module covers water quantity
and quality issues, water supply (basic
hydraulics), irrigation system design and
irrigation system evaluation (performance) to
maximize water application efficiency. Course
material is delivered primarily online, but a
four hour face-to-face lecture/lab will be held
at the end of the module.
PLSC 463 Cultural Management
of Nursery and Greenhouse
Systems: Surface Water (1
credits)
Credit will be granted for only one of the
following: PLSC463 or PLSC489Y.
Formerly PLSC489Y.
One of four 1 -credit modules covering the
management techniques used in the intensive
culture of plants in commercial operations.
Specifically, this module covers the basics of
surface water management, specific
management practices, containment basin
design and capture and recycling of irrigation
water for intensive plant production
operations. Course material is delivered
primarily online, but a four hour face-to-face
lecture/lab will be held at the end of the
module.
PLSC 464 Cultural Management
of Nursery and Greenhouse
Systems: Nutrients (1 credits)
Credit will be granted for only one of the
following: PLSC464 or PLSC489Z.
Formerly PLSC489Z.
One of four 1 -credit modules covering the
management techniques used in the intensive
culture of plants in commercial operations.
Specifically, this module covers the basics of
fertilization, different fertilization strategies
500
and nutrient use and efficiency, to optimize
nutrient application practices in intensive plant
production systems. Course material is
delivered primarily online, but a four hour
face-to-face lecture/lab will be held at the end
of the module.
PLSC 471 Forest Ecology (3
credits)
Prerequisite: BSCI106 or PLSC201.
An understanding of the forest ecosystem, its
structure and the processes that regulate it are
provided. It also considers changes that occur
in forests, the interaction of environment and
genetics in promoting ecosystem
sustainability, and the role of human
influences on urban forest ecosystems.
PLSC 472 Capstone-Urban
Forest Project Management (3
credits)
Prerequisites: ENST200, PLSC272, and
PLSC471. Senior standing. For PLSC
majors only.
Students will synthesize the ideas and
information learned from their studies in urban
forestry. Working in teams, students will
complete projects involving real-world issues.
Student projects will use scientific, social,
political and ethical considerations in an
interdisciplinary approach to provide solutions
to their problem.
PLSC 473 Woody Plant
Physiology (3 credits)
Prerequisite: BSCI442 orPLSC201 or
equivalent. Not open to students who have
completed NRSC473. Formerly
NRSC473.
Concentration is placed on physiological
processes important to woody plant growth
and development. Emphasis will be placed on
current concepts and theories of how woody
plants grow and develop, and the critical
assessment of current research in woody plant
physiology. Course readings will include
textbook assignments and selected papers from
the current scientific literature.
PLSC 474 Physiology of
Maturation and Storage of
Horticultural Crops (3 credits)
Two hours of lecture and two hours of
laboratory per week. Pre- or corequisite:
BSCI442.
The physiological and biochemical changes
occurring during storage of horticultural
commodities. Application of scientific
principles to handling and storage of fresh
produce.
PLSC 475 Silviculture (4
credits)
Three hours of lecture and two hours of
laboratory per week. Prerequisite:
PLSC100 or BSCI106; or permission of
instructor. Recommended: PLSC253 or
PLSC254. Junior standing.
Silviculture is the science of forest stand
dynamics and the biotic and abiotic factors
affecting it. Issues addressed will be related to
forest stand development, from regeneration to
harvesting and the sustainable management for
multiple uses. Topics covered will be related
to natural and managed stands in both rural
and urban environments.
PLSC 481 Vegetation
Assessment and Analysis (2
credits)
Prerequisite: PLSC100 or BSCI106; or
permission of instructor. Recommended:
BSCI360, PLSC201, PLSC226, or
PLSC471.
An overview of vegetation assessment through
the collection of data in the field (e.g. plots
and transects) and the analysis of existing data
and remotely detected images (e.g. Aerial
photographs and GIS layers).
PLSC 489 Special Topics in
Plant Science (1-3 credits)
Repeatable to 6 credits if content differs.
A lecture and or laboratory series organized to
study a selected phase of Plant Science not
covered by existing courses. Credit according
to time scheduled and organization of the
course.
PLSC 601 Plant Genomics (3
credits)
Not open to students who have completed
AGRO601. Credit will be granted for only
one of the following: AGRO601,
NRSC601, or PLSC601. Formerly
NRSC601.
An advanced course in plant genomics which
is the study of genes of plant chromosomes. It
will cover current topics in gene mapping,
molecular markers, QTLs, gene sequencing,
and genetic engineering with special focus on
agriculturally important traits.
PLSC 602 Advanced Crop
Breeding II (2 credits)
Prerequisite: PLSC601 and a graduate
statistics course.
Quantitative inheritance in plant breeding
including genetic constitution of a population,
continuous variation, estimation of genetic
variances, heterosis and inbreeding,
heritability, and population movement.
PLSC 608 Research Methods (2
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Credit will be granted for only one of the
following: AGRO608, NRSC608, or
PLSC608. Formerly NRSC608.
An overview of research methods and
applications related to plant sciences. Topics
covered include current research advances,
professional conduct and ethics, and
preparation of grant proposals, manuscripts,
and scientific presentations.
PLSC 609 Integrated Pest
Management (1-4 credits)
Prerequisite: permission of instructor.
Repeatable to 10 credits if content differs.
Also offered as ENTM609. Credit will be
granted for only one of the following:
ENTM609, NRSC609 or PLSC609.
Formerly NRSC609.
A modular course with an interdisciplinary
approach to the theory and practice of
integrated pest management. Topics of
modules, each 3-4 weeks long, vary each
semester over a three year time frame, with the
first module serving as a prerequisite for all
other modules. See
www.EntmClasses.umd.edu for description of
modules.
PLSC 682 Methods of Plant
Science Research (4 credits)
Two hours of lecture and four hours of
laboratory per week. Credit will be granted
for only one of the following: HORT682,
NRSC682, or PLSC682. Formerly
NRSC682.
The application of biochemical and
biophysical methods to problems in biological
research with emphasis on plant materials.
PLSC 683 Light and Plant
Development (3 credits)
Prerequisite: BSCI442 or permission of
instructor, Recommended: PHYS263,
PHYS406, BSCI435. Credit will be granted
for only one of the following: HORT683,
NRSC683, or PLSC683. Formerly
NRSC683.
Photobiology including: photochemistry,
photosynthesis and photomorphogenesis. How
light (UV, visible and near infrared) interacts
with plants to regulate physiological responses
such as stomatal function, carbon fixation,
phototropism and flowering.
PLSC 685 Advanced Plant
Ecophysiology (3 credits)
Prerequisite: one coure in plant physiology.
Credit will be granted for only one of the
following: NRSC685 orPLSC685.
Formerly NRSC685.
Growth, productivity and survival are
intimately linded to a plant's ability to adjust to
its environment. The information provided in
this course is designed to provide an
introduction to the basic physical and
psysiological principles necessary for
understanding the interactions between plants
and their environment. The overall objective
of this course is to understand plant responses
and adaptations to the environment and the
ecological relevance of these responses.
PLSC 689 Special Topics (1-3
credits)
Repeatable to 6 credits if content differs.
Credit will be granted for only one of the
following: HORT689, NRSC689, or
PLSC689. Formerly NRSC689.
Credit according to time scheduled and
organization of the course. Organized as a
lecture series on a specialized advanced topic.
PLSC 782 Physiology,
Biochemical and Molecular
Biology of Herbicides and Plant
Growth Regulators (3 credits)
Prerequisite: BSCI442 or NRSC401. Credit
will be granted for only one of the
following: NRSC782 orPLSC782.
Formerly NRSC782.
In this class we will study natural and
synthetic chemicals which regulate the growth
and development of plants. The mechanism by
which herbicides and plant growth regulators
express their activity on plants and the impact
of these chemicals on the environment will be
a primary focus of this course. The interaction
of these chemicals with biotechnology
advances will also be examined.
PLSC 783 Molecular Aspects of
Plant Environment Interactions
(3 credits)
Prerequisite: BSCI442. Not open to
students who have completed HORT783.
Credit will be granted for only one of the
following: HORT783, NRSC783, or
PLSC783. Formerly NRSC783.
A study of the interactions between abiotic
environmental factors and plants. The course
will emphasize the molecular aspects of how
plants perceive, tranduce, and respond to
environmental factors.
501
PLSC 785 Advanced Post-
Harvest Physiology (3 credits)
Prerequisites: BCHM461 and PLSC474
(formerly HORT474); or permission of
department. Credit will be granted for only
one of the following: NRSC785 or
PLSC785. Formerly NRSC785.
Physiological, biochemical and molecular
aspects of senescence of detached plant
organs, such as fruits, leaves and flowers.
PLSC 789 Advances in
Research (1 credits)
Repeatable to 7 credits if content differs.
Credit will be granted for only one of the
following: AGR0789, NRSC789, or
PLSC789. Formerly NRSC789.
Discussion of advances in plant science
research based on classic and current scientific
literature.
PLSC 798 Graduate Seminar (1
credits)
Repeatable to 6 credits if content differs.
Credit will be granted for only one of the
following: AGR0798, HORT798,
NRSC798, or PLSC798. Formerly
NRSC798.
First and second semester.
PLSC 799 Master's Thesis
Research (1-6 credits)
PLSC 802 Epidemiology and
Plant Disease Mangement (3
credits)
Prerequisite: NRSC410 or equivalent.
Recommended: B1OM402 or equivalent.
Not open to students who have completed
AGRO802. Credit will be granted for only
one of the following: AGRO802,
NRSC802, or PLSC802. Formerly
NRSC802.
An in-depth advanced course for graduate
students in plant pathology, agronomy,
entomology and horticulture emphasizing the
principles of effective plant disease
management in the agroecosystem.
PLSC 805 Advanced Crop
Physiology (2 credits)
Prerequisites: BSCI442 or BOTN642; plus
advanced training in plant sciences. Credit
will be granted for only one of the
following: NRSC805 orPLSC805.
Formerly NRSC805.
Major emphasis will be on physiological
processes affecting yield and productivity of
major food fiber and industrial crops of the
world. Topics such as photosynthesis,
respiration, photorespiration, nitrogen
metabolism will be related to crop growth as
affected by management decisions. Topics of
discussion will also include growth analysis
and the use of computer modeling of crop
growth by plant scientists.
PLSC 898 Pre-Candidacy
Research (1-8 credits)
PLSC 899 Doctoral Dissertation
Research (1-8 credits)
Portuguese (PORT)
PORT 405 Portuguese for
Spanish Speakers (3 credits)
Intensive basic grammar, reading and auditory
comprehension. Native or acquired fluency in
Spanish required.
PORT 408 Special Topics in
Portuguese Literature (3
credits)
Repeatable to 6 credits if content differs.
Major themes and literary developments from
the late 18th century to the present.
PORT 409 Special Topics in
Brazilian Literature (3-6 credits)
Major themes and literary development from
the late eighteenth century to the present.
Specific topic to be announced each time the
course is offered.
PORT 470 Modernism in
Brazilian Prose Fiction (3
credits)
Prerequisite: permission of department.
Prose of the Modernist movement in Brazil
from 1922, including literary, sociological and
historical dimensions.
PORT 476 Africa in Brazil (3
credits)
Junior standing. Not open to students who
have completed PORT478A.
Cultural expressions resulting from the
African presence in Brazil from the Sixteenth
Century to the present, including literature,
oral traditions, religion, music, dance, and
food.
PORT 478 Themes and
Movements of Luso-Brazilian
Literature in Translation (3
credits)
Repeatable to 6 credits if content differs.
A study of specific themes and movements
either in Portuguese or Brazilian literature, as
announced. Designed for students for whom
the literatures would be inaccessible in
Portuguese.
PORT 480 Machado de Assis (3
credits)
Prerequisite: permission of department.
Fiction of Machado de Assis covering his
romantic and realistic periods.
PORT 609 Special Topics -
Brazilian Literature (3-6 credits)
Prerequisite: reading knowledge of
Portuguese - fluency in Spanish or
Portuguese. Repeatable to 6 credits if
content differs.
Representative topics/authors/works of
Brazilian literature. Texts in Portuguese:
classes conducted in Portuguese and Spanish.
PORT 699 Independent Study of
Portuguese (1-3 credits)
Repeatable to 3 credits.
This course is designed to provide graduate
students an opportunity to pursue independent
study under the supervision of a member of
the department.
Psychology (PSYC)
PSYC 401 Biological Bases of
Behavior Laboratory (4 credits)
Two hours of lecture and four hours of
laboratory per week. Prerequisites:
PSYC300; PSYC301; and permission of
instructor, Restricted to PSYC majors who
have completed 85 credits.
A laboratory course to introduce students to
some of the basic physiological and
anatomical techniques of contemporary
neuroscience. Exercises look at specific
neurons or groups of neurons and how they
control such simple behaviors as swimming,
prey capture, and species recognition. The lab
exercises use living invertebrates and cold-
blooded vertebrates.
PSYC 402 Neural Systems and
Behavior (3 credits)
Prerequisite: PSYC301. Priority is given to
PSYC majors. Credit will be granted for
only one of the following: PSYC402.
Research on the physiological basis of
behavior, including considerations of sensory
phenomenon, motor coordination, emotion,
drives, and the neurological basis of memory.
PSYC 403 Animal Behavior (3
credits)
Prerequisite: PS YC301.
Reviews the theoretical framework underlying
the study of animal behavior. The genetic,
hormonal and physiological basis of behavior,
and the relation to ecological and evolutionary
processes will be discussed using examples
that range from invertebrate animals to
humans.
PSYC 404 Introduction to
Behavioral Pharmacology (3
credits)
Prerequisites: PSYC301. For PSYC majors
only.
Theoretical viewpoints on the interaction of
drugs and behavior. Basic principles of
pharmacology, the effects of drugs on various
behaviors, experimental analysis of drug
dependence and abuse, and
neuropharmacology and behavior.
PSYC 406 Neuroethology (3
credits)
Prerequisite: PSYC301. For PSYC majors
only.
A merger between the disciplines of
neuroscience and ethology (animal behavior)
studies the behavioral functions of nervous
systems using a comparative and evolutionary
approach. Students will learn how the nervous
system controls behavioral patterns in a
variety of different organisms ranging from
insects to mammals.
PSYC 407 Behavioral
Neurobiology Laboratory (4
credits)
Prerequisites: PSYC300; PSYC301.
Restricted to PSYC majrs who have
completed 85 credits. For PSYC majors
only.
Laboratory exercises introducing concepts and
techniques of behavioral neurobiology.
Activities emphasize design of neurobiology
experiments, hands-on experience with
behavioral and neurobio logical techniques,
data collection, and analysis of the results.
Most exercises use living animals.
PSYC 409 Topics in
Neurosciences Seminar (1
credits)
Prerequisite: permission of department.
Junior standing. Repeatable to 4 credits if
content differs.
Current research in neurosciences will be
presented, read, and discussed. Emphasis will
change each term.
PSYC 410 Experimental
Psychology: Sensory Processes
I (4 credits)
Three hours of lecture and two hours of
laboratory per week. Prerequisites:
502
PSYC300; a minimum of 85 credit hours
completed; and permission of department.
For PSYC majors only.
A systematic survey of the content, models,
and methodology of sensory and perceptual
research.
PSYC 415 History of Psychology
(3 credits)
Prerequisite: PSYC100.
Origins of psychology in philosophy and
biology, and the development of psychology
as a science in the nineteenth and twentieth
centuries. Consideration of current theoretical
perspectives and experiments in relation to the
enduring problems of psychology, and of the
role of culture, science, and technology in the
development of psychological ideas.
PSYC 420 Experimental
Psychology: Social Psychology
Laboratory (4 credits)
Two hours of lecture, one hour of
laboratory, and two hours of
discussion/recitation per week.
Prerequisite: PSYC221; PSYC300.
Restricted to PSYC majors who have
completed 85 credits.
A laboratory course to provide a basic
understanding of experimental method in
social psychology and experience in
conducting research on social processes.
PSYC 423 Advanced Social
Psychology (3 credits)
Prerequisite: PSYC420 or permission of
department.
A systematic review of research and points of
view in regard to major problems in the field
of social psychology.
PSYC 424 Communication and
Persuasion (3 credits)
Prerequisites: PSYC200 and PSYC221.
Effect of social communication upon behavior
and attitudes. Theory and research concerning
attitude change and social influence.
PSYC 432 Counseling
Psychology: Theories,
Research, and Practice (3
credits)
Prerequisite: PSYC200.
Analysis of research and intervention
strategies developed and used by counseling
psychologists. Historical and current trends in
content and methodology.
PSYC 433 Basic Helping Skills:
Research and Practice (4
credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
PSYC300; and one of the following:
PSYC235, PSYC334, PSYC353,
PSYC432, PSYC434, PSYC435, or
PSYC436. Restricted to PSYC majors who
have completed 85 credits.
Theories and research regarding effective
helping skills. Students will practice helping
skills with each other and will conduct
research projects evaluating their helping
skills. Students should be willing to talk about
personal issues in class. Because of the
participatory nature of this class, attendance
normally will be included in the computation
of grades.
PSYC 434 Severe Mental
Disorders: Etiology and
Treatment (3 credits)
Prerequisites: PSYC300; PSYC301;
PSYC353. For PSYC majors only.
Examines multiple perspectives on severe
mental illnesses such as schizophrenia and the
major affective disorders. Integrates the
biological findings with the human experience
of these illnesses, their cultural and socio-
political aspects, and their psychological,
pharmacological, and social service
treatments.
PSYC 435 Theories of
Personality and Psychotherapy
(3 credits)
Prerequisite: PSYC200.
Major theories of personality and research
methods and findings relevant to those
theories.
PSYC 436 Introduction to
Clinical Psychology: From
Science to Practice (3 credits)
Prerequisite: PSYC300.
Critical analysis of clinical psychology, with
particular emphasis on current developments
and trends.
PSYC 437 The Assessment and
Treatment of Addictive
Behaviors (3 credits)
Prerequisite: PSYC100 and an additional
six credits in psychology. Not open to
students who have completed PSYC309E
during a previous Winter Term semester.
Credit will be granted for only one of the
following: PSYC309E (taken in the Winter
Term) or PSCY437. Formerly PSYC309E.
Explores the current research in assessment
and treatment of addictive behaviors. Topics
may include addictions in the areas of alcohol,
drugs, nicotine, gambling, and eating.
PSYC 440 Experimental
Psychology: Cognitive
Processes (4 credits)
Three hours of lecture and two hours of
laboratory per week. Prerequisites:
PSYC300; PSYC341. Restricted to PSYC
majors who have completed 85 credits. For
PSYC majors only.
A survey of the content, models, and methods
in cognitive psychology with an emphasis on
auditory and visual pattern recognition,
information processing, attention, memory,
learning, problem solving, and language.
PSYC 442 Psychology of
Language (3 credits)
Prerequisite: PSYC300; PSYC341. For
PSYC majors only.
Introductory survey of the psychology of
language, focusing on the cognitive processes
that enable us to produce and understand
language. Topics include speech perception,
speech production, syntactic processing,
language development, language disorders,
and the brain bases of language.
PSYC 443 Thinking and Problem
Solving (3 credits)
Prerequisites: PSYC300; PSYC341. For
PSYC majors only.
Historical development, current theory and
data, and research methods in problem
solving. Formal problem solving theory and
computer models of thinking and human
problem-solving behavior. The uses of
strategies to improve students' own thinking
processes and problem- solving behavior.
PSYC 444 Cyberpsychology:
The Psychology of
Human/Computer Interactions (3
credits)
Prerequisite: PSYC200. Credit will be
granted for only one of the following:
PSYC309E or PSYC444. Formerly
PSYC309E.
Explores traditional psychological processes in
the rapidly changing world of computer and
internet technologies. Students will address
how the use of computers impacts many of the
major topics in psychology.
PSYC 445 The Psychology of
Video Games and Entertainment
(3 credits)
Prerequisite: PSYC200. For PSYC majors;
others by permission of department. Credit
will be granted for only one of the
following: PSYC309V orPSYC445.
Formerly PSYC309V.
An exploration of the diverse elements and
theories in the psychology of video games and
entertainment. The history and taxonomy of
video games, cognitive and affective elements,
virtual reality and social presence, video game
violence, and educational and ethical issues
will be covered.
PSYC 450 Field Research in
Organizational Psychology (4
credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisites:
PSCY300 and PSYC361. Restricted to
PSYC majors who have completed 85
credit hours.
Methods of field research applicable to
organizational settings are examined,
including field experiments and quasi-
experiments, observation, interviewing,
surveys, content analysis, and various forms of
qualitative inquiry.
PSYC 455 Cognitive
Development (3 credits)
Prerequisites: PSYC300; PSYC341 or
PSYC355. For PSYC majors only.
Theory and research in cognition from a life-
span developmental perspective including
memory, reasoning, attention, spatial
cognition, and conceptual organization, and
discussions of implications of current research
for a variety of educational interventions.
PSYC 456 Research Methods in
Developmental Psychology
Laboratory (4 credits)
Two hours of lecture and four hours of
laboratory per week. Prerequisites:
PSYC300 and permission of department.
Restricted to PSYC majors who have
completed 85 credits.
A presentation of major research designs used
in developmental psychology and of the
methodology used in developmental research,
such as observational research, program
evaluation, and laboratory experimentation.
PSYC 458 Applied
Developmental Psychology (3
credits)
Prerequisites: PSYC200; and one of the
following: PSYC355, PSYC356. or
PSYC357. Repeatable to 6 credits if
content differs.
An examination of a topic in developmental
psychology which has been examined in the
503
laboratory and is central to developmental
theories. Extension of these analyses to
practical and social issues in the daily life of
the developing individual. Topics will vary
from semester to semester.
PSYC 460 Psychological
Foundations of Personnel
Selection and Training (3
credits)
Prerequisite: PSYC200; PSYC361.
An examination of issues and processes
involved in the design and evaluation of
personnel selection and training programs in a
variety of organizational settings: job, person
and organizational analysis; organizational
choice; development of predictors; evaluation
of instructional and training systems; criteria
for performance evaluation, promotion and
training.
PSYC 463 Psychology of
Motivation and Attitudes in
Organizational Settings (3
credits)
Prerequisites: PSYC200 and PSYC361.
Theories, research and practice regarding the
assessment, understanding, and prediction of
motivation at work. Theories, assessment and
consequences of various work-related
attitudes. An integration of theory, research,
and practice.
PSYC 464 Psychology of
Leaders in Work Organizations
(3 credits)
Prerequisite: PSYC200; PSYC361.
The psychological assumptions and
implications of various theories of
management and leadership. Selections and
training; development of careers; influence
processes; change of managerial behavior; and
the impact of the larger environment, nature of
product or service, and organization structure
on managerial behavior.
PSYC 465 Psychology of
Organizational Processes (3
credits)
Prerequisites: PSYC200 and PSYC361 or
equivalent.
Theories of interpersonal, intra- and inter-
group relations, with emphasis on issues of
conflict, competition, cooperation and the role
of power in organizations. Organizational
diagnosis and intervention.
PSYC 468 Field Experience and
Special Assignments in Honors
(1-3 credits)
Prerequisite: permission of department as
well as supervisor and honors faculty.
Repeatable to 6 credits.
An individual experience arranged by the
honors student and his or her supervisor. A
proposal submitted to the honors faculty in the
semester preceding registration for the course
should state the activities anticipated and the
method of evaluation.
PSYC 469 Honors Thesis
Proposal Preparation (3 credits)
Prerequisite: permission of department.
Repeatable to 3 credits.
Development of honors thesis proposal by
preliminary research and literature review.
Presentation of formal proposal to the thesis
committee.
PSYC 478 Independent Study in
Psychology (1-3 credits)
Prerequisite: permission of department; 9
credits in psychology; 3.0 Psychology
GPA; 2.8 overall GPA. Repeatable to 9
credits.
PSYC 479 Special Research
Problems in Psychology (1-3
credits)
Prerequisite: permission of department; 9
credits in psychology; 3.0 Psychology
GPA; 2.8 overall GPA. Repeatable to 9
credits.
PSYC 488 Advanced
Psychology I (Honors) (3
credits)
Prerequisite: PSYC200 and permission of
department.
Seminar covering topics in sensation,
perception, learning, and motivation.
PSYC 489 Senior Seminar (3
credits)
Prerequisite: PSYC100.
Treatment of a specialized topic in
psychology.
PSYC 498 Advanced
Psychology II (Honors) (3
credits)
Prerequisite: PSYC488H or permission of
department.
Seminar covering topics in measurement,
social processes, developmental processes and
other subject matter of current interest.
PSYC 499 Honors Thesis
Research (3 credits)
Prerequisite: PSYC469 and permission of
thesis advisor.
PSYC 601 Quantitative Methods
I (4 credits)
Four hours of lecture and two hours of
discussion/recitation per week.
Prerequisite: PSYC 200 or equivalent.
A basic course in quantitative/mathematical
analysis and statistical methods in psychology
with an emphasis on conceptual
understanding. Topics include issues in
measurement, probability theory, statistical
inference and hypothesis testing, parameter
estimation, bivariate regression, and
correlation.
PSYC 602 Quantitative Methods
II (4 credits)
Four hours of lecture and two hours of
discussion/recitation per week.
Prerequisite: PSYC 601.
A continuation of PSYC 601. Topics include
experimental design, analysis of variance,
analysis of covariance, multiple regression,
and general linear models.
PSYC 603 Introduction to
Industrial and Organizational
Psychology (3 credits)
Credit will be granted for only one of the
following: PSYC603 or PSYC730.
Formerly PSYC730.
Advanced survey of industrial-organizational
psychology, including selection, training,
motivation, group processes, leadership,
organizational psychology, and organizational
theory. Readings stressed and seminar time
will be used for lectures, discussion and
integration of the reading materials.
PSYC 604 Fundamentals of
Social Psychology (3 credits)
Credit will be granted for only one of the
following: PSYC 604 or PSYC 640.
Formerly PSYC640.
A survey of classic and contemporary theories,
research and methods in social psychology.
PSYC 605 Sensory and
Perceptual Processes (3
credits)
Credit will be granted for only one of the
following: PSYC 605 or PSYC 651.
Formerly PSYC651.
A broad coverage of knowledge in sensory and
perceptual processes. Major theories and
antecedents of contemporary research in the
field.
PSYC 606 Human
Biopsychology (3 credits)
Credit will be granted for only one of the
following: PSYC 606 or PSYC 660.
Formerly PSYC660.
An introductory graduate level course in
human psychobiology designed for graduate
students with little specific training in this
area. Introduction to the comparative and
evolutionary approach to the study of human
behavior, the biobehavioral basis of human
sexuality and social behavior, the
physiological basis of higher cortical functions
in humans including language, memory, and
spatial perception, and an introduction to
neuropharmacology.
PSYC 607 Advanced Topics in
Human-Learning and Cognitive
Psychology (3 credits)
Credit will be granted for only one of the
following: PSYC 607 or PSYC 671.
Formerly PS YC671.
A systemic review of major topic areas in the
field of human learning and cognition, with
emphases on information processes, mental
representations, memory, reasoning, problem
solving, and language.
PSYC 610 Historical Viewpoints
and Current Theories in
Psychology (3 credits)
Credit will be granted for only one of the
following: PSYC 610 or PSYC 688.
Formerly PS YC688.
Origins of psychology in philosophy and the
sciences; the development of psychology as a
science in the nineteenth and twentieth
centuries. A review of current theoretical
perspectives and research in relation to the
enduring issues in psychology. The role of
culture, science, and technology in the
development of psychological ideas.
PSYC 611 Advanced
Developmental Psychology (3
credits)
Systematic exploration of contemporary and
classic theories of development focusing on
the assumptions they make and research they
generate.
PSYC 612 Theories of
Personality (3 credits)
Scientific requirements for a personality
theory. Postulates and relevant research
literature for several current personality
theories.
PSYC 619 Research Team in
Clinical Psychology (1-3
credits)
For PSYC majors only. Repeatable to 6
credits.
Participation in ongoing faculty-student
504
research teams focusing on discussion of
research topics, presentation and critique of
original research proposals, and development
of thesis and dissertation research studies.
PSYC 622 Research Methods in
Clinical Psychology (3 credits)
Prerequisite: permission of instructor.
Credit will be granted for only one of the
following: PSYC622 orPSYC718.
Formerly PSYC7 18.
Examines issues and strategies in conceptual
systems, designs and methodologies of current
research in clinical and community
psychology. Readings include critical analyses
of published research. Course requirements
include preparation of a research proposal for
a thesis level study.
PSYC 623 Child
Psychopathology (3 credits)
Prerequisite: permission of instructor. For
PSYC majors only.
Examines the scientific and clinical literature
relevant to normal and pathological behavior
in children and adolescents. Issues in
developmental psychopathology and
consideration of processes initiated in
childhood which manifest as pathology in
adulthood are also considered.
PSYC 624 Adult
Psychopathology (3 credits)
Prerequisite: permission of instructor. For
PSYC majors only. Credit will be granted
for only one of the following: PSYC 624 or
PSYC 719. Formerly PSYC719.
Examines the scientific and clinical literature
relevant to normal and pathological behavior
in adults and associated nosological systems
for categorizing psychopathology. Issues
relevant to etiology, differential diagnosis, and
treatment planning are also considered.
PSYC 625 Clinical Assessment:
Psychometric Principles,
Testing and Behavior (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: PSYC625 orPSYC721.
Formerly PS YC721.
An examination of fundamental principles of
psychological assessment; application of these
principles to the development of evidence-
based assessments of clinical conditions and
associated behaviors; and application of
evidence-based assessments to the evaluation
and understanding of clinical conditions
evaluated and treated in therapeutic settings.
PSYC 628 Advanced Topics in
Clinical Psychology (1-3
credits)
Prerequisite: permission of instructor.
Repeatable to 09 credits if content differs.
Credit will be granted for only one of the
following: PSYC 628 or PSYC 719.
Formerly PSYC7 19.
Examines selected topics in
clinical/community psychology, public policy
and health care planning.
PSYC 629 Clinical Laboratory (1-
3 credits)
Repeatable to 15 credits. Credit will be
granted for only one of the following:
PSYC 629 or PSYC 632. Formerly
PSYC632.
Provides advanced supervised experience in
the delivery and supervision of mental health
interventions targeted to individuals.
Supervised work with clients is required.
PSYC 630 Behavioral and
Cognitive Behavioral
Intervention for Adults (3
credits)
Three hours of lecture and two hours of
laboratory per week. Prerequisites: PSYC
620 or PSYC 680 and permission of
instructor. For PSYC majors only. Credit
will be granted for only one of the
following: PSYC 630 or PSYC 728.
Formerly PS YC728.
Introduces students to the process of therapy
with particular focus on behavioral focus on
behavioral and cognitive behavioral
internentions. Syllabus focuses on theory,
research, client diversity, ehtics, and practical
aspects of conducting therapy.
PSYC 632 Behavioral and
Cognitive Behavioral
Intervention for Children and
Adolescents (3 credits)
Prerequisite: PSYC 620. Corequisite:
permission of instructor. For PYSC majors
only. Formerly PSYC789A.
Introduces students to the process of therapy
with particular focus on behavioral and
cognitive behavioral interventions in children
and adolescents. Syllabus focuses on theory,
research, client diversity, ethics and practical
aspects of conducting therapy.
PSYC 638 Externship in
Professional Psychology (1-3
credits)
Repeatable to 6 credits if content differs.
Approved appointment as an extern in a
mental health setting.
PSYC 639 Internship in
Professional Psychology (1
credits)
Repeatable to 6 credits if content differs.
This seminar combines involvement with a
program approved appointment as an intern in
a mental setting with a supervisory review of
the training experience.
PSYC 641 Environmental
Assessment in Clinical
Psychology (2 credits)
Credit will be granted for only one of the
following: PSYC 641 or PSYC 722.
Formerly PSYC722.
Introduction to a broad range of theory,
research, and systematic approaches focusing
on the assessment of the contexts in which
people reside, recreate, work and learn.
PSYC 642 Biological
Considerations in Clinical
Psychology (3 credits)
Behaviors are based partially in the bilogy of
the human organism. This course begins with
an examination of the nature-nurture issue on
psychology, particularly as it applies to
clinical psycology. Genetic undeipin nings of
behavior & their neuroanatomical &
neurochemical expressions will be explored.
This course will also examine
psychopsychiological meas ures and the role
of psychoparmacology and other biological
interventions in treatment.
PSYC 643 Ethical and
Professional Issues in Clinical
Psychology (3 credits)
Prerequisite: permission of instructor. For
PSYC majors only. Credit will be granted
for only one of the following: PSYC 643 or
PSYC 719. Formerly PSYC719.
An overview of the ethical and professional
issues involved in psychological research,
instruction, and practice, with special attention
to advocacy and ethical decision making
regarding a variety of primary, secondary, and
tertiary clinical/community interventions.
PSYC 644 Basic Foundation of
Clinical Interventions (3 credits)
Prerequisite: permission of instructor. For
PSYC majors only.
General introduction to behavior theory and
the basic behavioral principles that underlie
behavior therapy. Provides an introduction to
the philosophical, theoretical and empirical
contributions of basic behavior analysis as
they relate to behavior therapy, including
examples of how behavior therapy is
disconnected from its roots.
PSYC 661 Experimental
Analysis of Behavior (3 credits)
Fundamental principles, theoretical framework
and areas of application of the experimental
analysis of behavior.
PSYC 669 Professional Issues in
Counseling Psychology (1
credits)
Open only to Counseling Psychology
majors. Repeatable to 3 credits if content
differs. Also offered as EDCP669.
Introduction to counseling psychology,
including history and development of the field,
and current professional and scientific issues.
Exploration of career, research, and
professional development opportunities.
PSYC 678 Seminar in
Psycholinguistics (3 credits)
Prerequisite: PSYC 671. Repeatable to 6
credits.
Contemporary psycholinguistic theories of
language acquisition and use. Phonological,
semantic and syntactic aspects of language.
PSYC 679 Seminar in Cognitive
Development (3 credits)
Prerequisite: PSYC 611 or PSYC 671.
Repeatable to 6 credits.
Advanced coverage of research methodology
and research issues in various areas of
cognitive development such as categorization,
spatial understanding, language acquisition,
and memory. Emphasis on interrelationships
among developmental changes across the life-
span. Utility of a developmental perspective in
analyzing the components of cognition.
PSYC 680 Basic Didactic-
Practicum in Counseling
Psychology (3 credits)
One and a half hour of lecture, one and a
half hour of laboratory, and three hours of
discussion/recitation per week.
Prerequisite: PSYC 700. Credit will be
granted for only one of the following:
PSYC 680 or PSYC 727. Formerly
PSYC727.
In depth examination of counseling theories
and techniques, and supervised experience in
application of a range of counseling and
therapy approaches.
PSYC 682 Counseling
Psychology Didactic-Practicum
in Group Interventions (3
505
credits)
One and a half hour of lecture and one and
a half hour of laboratory per week.
Prerequisite: permission of instructor.
Credit will be granted for only one of the
following: PSYC 682 or PSYC 729.
Formerly PSYC729.
In depth examination of theories and
techniques of group interventions, and
supervised experience in group counseling.
PSYC 683 Counseling
Psychology Didactic-Practicum
in Couples and Family
Interventions (3 credits)
One and a half hour of lecture and one and
a half hour of laboratory per week.
Prerequisite: permission of instructor.
Credit will be granted for only one of the
following: PSYC 683 or PSYC 729.
Formerly PSYC729.
In depth examination of theories and
techniques of couples and family counseling,
and supervised experience in couples/family
counseling.
PSYC 684 Counseling
Psychology Didactic-Practicum
in Consultation (3 credits)
One and a half hour of lecture and one and
a half hour of laboratory per week.
Prerequisite: permission of instructor.
Credit will be granted for only one of the
following: PSYC 684 or PSYC 729.
Formerly PSYC729.
In depth examination of theories and
techniques of consultation on and off
university campuses, and supervised
experience on conducting consultation.
PSYC 685 Counseling
Psychology Didactic-Practicum
in Counseling Supervision (3
credits)
One and a half hour of lecture and one and
a half hour of laboratory per week.
Prerequisite: permission of instructor.
Credit will be granted for only one of the
following: PSYC 685 or PSYC 729.
Formerly PSYC729.
In depth examination of theories and
techniques of counseling supervision, and
supervised experience in the process of
supervising counselors.
PSYC 686 Didactic Practicum in
Career Counseling (3 credits)
Credit will be granted for only one of the
following: PSYC 681 or PSYC 686.
Formerly PS YC681.
In depth examination of approaches to issues
in career interventions; supervised experience
in career counseling and assessment.
PSYC 688 Ethicial and Legal
Issues in Counseling
Psychology (1 credits)
Open only to Counseling Psychology
Majors. Repeatable to 03 credits if content
differs. Also offered as EDCP688.
Exploration of ethical standards and legal
issues in the profession of counseling
psychology.
PSYC 689 Seminar in
Counseling Psychology (3
credits)
Prerequisite: permission of instructor.
Repeatable to 12 credits if content differs.
Credit will be granted for only one of the
following: PSYC 689 or PSYC 719.
Formerly PSYC7 19.
Special topics in counseling psychology.
Examples of topics include multi-cultural
counseling, the counseling relationship,
counseling and victimology, psychological
treatment and health.
PSYC 690 Research in
Counseling Psychology I (3
credits)
Prerequisite: permission of instructor.
Credit will be granted for only one of the
following: PSYC 690 or PSYC 718.
Formerly PSYC7 18.
Critical analysis of strategies methodological,
conceptual, and content trends.
PSYC 691 Research in
Counseling Psychology II (3
credits)
Prerequisite: permission of instructor.
Credit will be granted for only one of the
following: PSYC 691 or PSYC 718.
Formerly PSYC7 18.
Critical analysis of trends and issues in
counseling psychology science.
PSYC 692 Assessment in
Counseling Psychology I (3
credits)
Prerequisite: PSYC 680. Credit will be
granted for only one of the following:
PSYC 692 or PSYC 721. Formerly
PSYC721.
Broad introduction to the construction of
psychological tests and measures, and
experience in test interpretation, with
consideration of historical, legal, ethical, and
cultural issues surrounding the assessment
process.
PSYC 693 Assessment in
Counseling Psychology II (3
credits)
Prerequisite: PSYC 692. Credit will be
granted for only one of the following:
PSYC 693 or PSYC 722. Formerly
PSYC722.
Supervised experience in administration,
scoring, and interpreting major
psychodiagnostic instruments used by
counseling psychologists, as well as writing
integrative assessment reports. Emphasis on
hypothesis testing approach to assessment and
on the counseling interview as an assessment
tool.
PSYC 695 Ethical and
Professional Issues in
Counseling Psychology (3
credits)
Only open to Counseling Psychology
majors. Formerly: EDCP669 and
EDCP688. Also offered as EDCP695.
Credit will be granted for only one of the
following: EDCP669, EDCP688,
EDCP695, PSYC688 orPSYC695.
Exploration of ethical and professional issues
in Counseling Psychology.
PSYC 697 Multicultural Issues (3
credits)
Only open to Counseling Psychology
majors. Also offered as EDCP697. Credit
will be granted for only one of the
following: EDCP697, EDCP699, or
PSYC697. Formerly EDCP699.
Exploration of knowledge, attitudes and skills
for providing counseling and psychological
services to culturally diverse populations.
PSYC 698 Advanced Didactic-
Practicum in Counseling
Psychology (3 credits)
One and a half hour of lecture and one and
a half hour of laboratory per week.
Prerequisite: permission of instructor.
Repeatable to 12 credits if content differs.
Credit will be granted for only one of the
following: PSYC 698 or PSYC 729.
Formerly PSYC729.
In depth examination of approaches to or
theories about intervention, and supervised
experience in the application of those
approaches or theories. Each practicum
focuses on a particular approach, e.g.
psychodynamic, cognitive-behavioral, cross-
cultural.
PSYC 699 Diversity and
Multiculturalism in Counseling
Psychology (1 credits)
Open only to Counseling Psychology
Majors. Repeatable to 03 credits if content
differs. Also offered as EDCP699.
Formerly EDCP696.
Exploration of knowledge, attitudes, and skills
for providing counseling psychological
services to culturally diverse populations.
PSYC 700 Theories and
Strategies of Counseling
Psychology (3 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: PSYC 700 or PSYC 711.
Formerly PS YC711.
Introduction to the professional field,
examination of pertinent scientific and
philosophical backgrounds, and survey of the
major theories, principles, and training models
in counseling. Correlated laboratory analogue
experiences in dyadic and group
interrelationships.
PSYC 701 Multivariate Analysis I
(3 credits)
Prerequisite: PSYC 602 or permission of
instructor,
Fundamentals of maxtrix algebra, multivariate
distributions, multivariate estimation problems
and test of hypotheses, general linear model.
PSYC 702 Multivariate Analysis
II (3 credits)
Prerequisite: PSYC 701 or permission of
instructor.
Component and factor analysis with emphasis
on the appropriateness of the models to
psychological data. Both theoretical issues and
research implications will be discussed. The
course will treat the factor analytic model, the
three indeterminant problems of
communalities, factor loadings, and factor
scores, extraction algorithms, rotational
algorithms, and the principal component
model.
PSYC 705 Mathematical Models
of Memory and Cognition (3
credits)
Prerequisite: PSYC 602 or permission of
instructor.
Topics to be covered include a review of basic
probability theory; models of learning,
memory and attention; stimulus sampling
506
theory; computer simulations of cognitive
processes.
PSYC 707 Theory of Decision
and Choice (3 credits)
Prerequisite: PSYC 602 and pennission of
instructor.
A study of algebraic and probabilistic models
for decision and choice behavior, and related
experimental procedures. Topics include:
measurement of preference, subjective utility
models for certain and uncertain outcomes,
normative strategies, decision making styles,
and group decision making.
PSYC 708 Seminar in
Psychometric Theory (3 credits)
Prerequisite: PSYC 602 or permission of
instructor. Repeatable to 9 credits if content
differs.
Study of the current practices, trends, or recent
developments in psychometric theory.
PSYC 709 Seminar in
Mathematical Models (3 credits)
Prerequisite: PSYC 602 or permission of
instructor. Repeatable to 9 credits if content
differs.
Special topics in mathematical psychology. A
discussion of quantitative representations of
psychological processes in one or more
substantive areas of psychology.
PSYC 725 Teams at Work (3
credits)
Prerequisite: PSYC 602 or permission of
instructor. Credit will be granted for only
one of the following: PSYC 725 or PSYC
747. Formerly PSYC747.
Theory and research regarding the formation,
management, and functioning of teams in the
workplace; including team composition, team
rewards, team-task and team-organization
relationships and fit, team productivity, and
the selection for and training of teams.
International use of teams at work.
PSYC 732 Selection and
Classification Issues in
Organizations (3 credits)
Prerequisites: PSYC 603, and PSYC 602 or
equivalent, or permission of instructor.
Consideration of societal, organizational and
individual demands for appropriate use of
individual differences in (primarily) initial
placement of employees. Recruitment, and
selection issues, the role of governmental
regulations, and the role of individual factors
in individual behavior are considered.
Extensive coverage given to fundamental
psycho-metric problems and the development
of individual and organizational criteria of
effectiveness.
PSYC 737 Research Methods in
Industrial/Organizational
Psychology (3 credits)
Prerequisite: PSYC 603 or permission of
instructor.
Philosophy, theory, and method issues
underlying I/O psychology. History and the
effectiveness of different methods for
answering different questions is explored.
Reliability and validity are emphasized.
PSYC 738 Seminar in
Industrial/Organizational
Psychology (3 credits)
Prerequisite: PSYC 603 or permission of
instructor. Repeatable if content differs.
An occasional advanced seminar covering
specialized topics.
PSYC 739 The Psychology of
Workplace Change and
Innovation (3 credits)
Prerequisite: PSYC 603 or permission of
instructor. For PSYC majors only.
Organizational change and innovation research
and theory, current impetuses for
organizational change (e.g., economic,
demographic, and technological trends) and
specific workplace innovations (e.g., employee
ownership, QWL, CAD/CAM, etc.)
PSYC 740 Social Psychology
Research Methodology (3
credits)
A review of research methodology in social
psychology, including research design,
techniques of data collection, and the
interpretation of data. Emphasis is placed on
developing skill in evaluating studies and
generating research designs.
PSYC 741 Attitude Change (3
credits)
A review of research and theory concerning
the nature of attitudes and the determinants of
attitude change.
PSYC 742 Group Processes (3
credits)
Research and theory concerning a) intra-group
behavior, including topics such as group
formation, conformity, group task
performance and decision-making, minority
influence, and jury decision-making, and b)
inter-group behavior, including the processes
of social categorization, stereotype
development and change, and issues of
prejudice and discrimination.
PSYC 743 Social Cognition (3
credits)
Research and theory concerning the attribution
of personal characteristics, errors and biases in
social judgment, social information
processing, person memory, motivated social-
cognition and cognition in groups.
PSYC 748 Seminar in Social
Psychology (3 credits)
Repeatable to 15 credits if content differs.
A seminar on selected topics in social
psychology.
PSYC 749 Current Research in
Social Psychology (1-3 credits)
Repeatable to 12 credits if content differs.
PSYC 757 Developmental
Cognitive Neuroscience (3
credits)
For PSYC and NACS majors only; other
students require department permission.
Also offered as NACS728D. Credit will be
granted for only one of the following:
NACS728D or PSYC757.
Developmental cognitive neuroscience
investigates the relations between neural and
cognitive development. This course provides
an overview of current research questions,
methodologies and findings related to
neurocognitive development in human infants
and children, the role of developmental
plasticity, and atypical outcomes, such as
those observed in neurodeve lop mental
disorders.
PSYC 758 Seminar in the Neural
Bases of Sensory Processes (3
credits)
Prerequisite: PSYC 605 or permission of
instructor, Repeatable to 9 credits if content
differs.
Selected topics in vision and the other senses.
PSYC 759 Seminar in Neural
Bases of Perceptual Processes
(3 credits)
Prerequisite: PSYC 605 or permission of
instructor, Repeatable to 9 credits if content
differs.
Selected topics in perceptual phenomena and
their physiological bases.
PSYC 762 Comparative
Psychology (3 credits)
Prerequisite: PSYC 661.
The experimental literature on the behavior of
non-human organisms. Special topics.
PSYC 764 Comparative
Neuroanatomy (3 credits)
Prerequisite: permission of instructor.
Demonstrations and lectures on the gross,
microscopic and ultras tructural morphology of
the central nervous system of vertebrates.
PSYC 765 Biological Bases of
Psychopharmacology (3
credits)
Prerequisite: one year of graduate study in
psychology and permission of instructor.
A critical review and detailed analysis of the
literature and problems related to the effects of
drugs on animal and human behavior.
Designed for advanced graduate students in
experimental psychology and clinical
psychology.
PSYC 768 Conditioning and
Learning (3 credits)
Prerequisite: PSYC 622.
Alternate years. The literature on the
experimental analysis of behavior, with
examination of basic experiments and
contemporary theories related to them.
PSYC 778 Seminar in Learning
and Memory (3 credits)
Prerequisite: PSYC 671. Repeatable to 6
credits if content differs.
An advanced topical seminar covering the
areas of human learning and memory.
Acquisition processes, storage and retrieval
processes, and attention and information
processing.
PSYC 779 Seminar in Human
Performance Theory (3 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits if content differs.
Formerly PSYC735.
An examination of human- machine
interactions with emphasis on theories and
research which focus on human performance
capabilities and skills. Topics selected from
information processing, communications,
human computer interaction, decision making,
environmental constraints and automation.
PSYC 788 Special Research
Problems (1-4 credits)
Supervised research on problems selected
from the areas of experimental, industrial,
social, quantitative, or mental health
psychology.
PSYC 789 Special Research
Problems (1-4 credits)
PSYC 798 Graduate Seminar (1-
4 credits)
Repeatable to 12 credits if content differs.
507
PSYC 799 Master's Thesis
Research (1-6 credits)
PSYC 818 Research Issues in
Personality Or Development (3
credits)
Prerequisites: PSYC 601; and PSYC 602;
and either PSYC 61 1 or PSYC 612 or
equivalent, depending on course content.
Repeatable to 9 credits.
Experimental design and methodology and
statistical treatment of data appropriate to
personality or developmental research; critical
analysis of major current areas of research
including methodologies, findings and
implications. The course will focus on either
personality research or developmental research
in a given semester.
PSYC 819 Seminar in
Personality and Development (3
credits)
Repeatable to 9 credits.
An advanced seminar covering specialized
topics.
PSYC 859 Special Topics in
Perception (3 credits)
Prerequisite: PSYC 605 or permission of
instructor, Repeatable to 6 credits.
Intensive study of selected topics in
perception.
PSYC 878 Current Research in
Language and Cognition (3
credits)
Prerequisite: PSYC 671. Repeatable to 6
credits.
Seminar will cover current research and
methodological issues in language and
cognition. Specialized topics include:
computer models of cognitive behavior; cross-
cultural studies in language and thought;
mathematical and analytical techniques for
assessing structures; and others.
PSYC 888 Research Methods in
Psychology (1-3 credits)
PSYC 889 Research Methods in
Psychology (1-3 credits)
PSYC 898 Pre-Candidacy
Research (1-8 credits)
PSYC 899 Doctoral Dissertation
Research (1-8 credits)
Public Affairs (PUAF)
PUAF 610 Quantitative Aspects
of Public Policy (3 credits)
For PUAF majors only or permission of
department.
Introduces statistical methods needed for
evaluating and choosing among policy
options. Topics include probability; decision-
making under uncertainty; the organization,
interpretation, and visual display of complex
data; prediction and inferences about causality;
hypothesis testing; and linear and multiple
regression. Develops analytical skills and the
ability to apply theory to complex, real-world
problems.
PUAF 611 Quantitative Analysis
of Policy Issues (3 credits)
For PUAF majors only or permission of
department.
Study of a series of problems and the
development of quantitative techniques to
describe or evaluate the problem. The
organization and interpretation of complex
data and its use for prediction and inference
about casual effects. The definition of
objectives, trade-offs among objectives, and
allocation of resources to meet objectives.
Sensitivity of outcomes to changing
conditions.
PUAF 620 Political Analysis (3
credits)
For PUAF majors only or permission of
department.
Examination of politics as a process for
allocating scarce resources among claimants
for public benefits. Comparision of the
allocative model of politics with other
distributive processes, such as markets.
Comparison of the model with behavior of
different political institutions, such as
Congress and the presidency. Study of politics
as a process with distinctive concepts of
rationality. The translation of voter and
interest group preferences into public choices.
The impact of political decisions on competing
constituencies.
PUAF 640 Microeconomic and
Policy Analysis (3 credits)
For PUAF majors only or permission of
department.
Applies intermediate microeconomic theory to
public policy issues: resource allocation by
firms and consumers; the response of
economic agents to changes in incentives;
market allocations in competitive and non-
competitive environments; and market failures
and government remedies. Uses extended case
studies of particular issues in such areas as the
environment (acid rain), international trade
(tariffs), industry regulation (cable TV), and
the provision of public goods (highways).
PUAF 641 Macroeconomics and
Policy Analysis (3 credits)
For PUAF majors only or permission of
department.
Studies the behavior of the economy as a
whole: the level of national income,
unemployment, and inflation; the vulnerability
of the U.S. economy to external influences;
possible federal influence over the level of
economic activity; and the consequences for
prices, employment and the U.S. trade deficit.
Also examines possible U.S. policy responses
to widespread debt crises in developing
countries.
PUAF 650 Moral Dimensions of
Public Policy (3 credits)
For PUAF majors only or permission of
department.
Explores the moral issues involved in public
policy questions; the limits and usefulness of
decision-making tools; problems of choosing,
justifying and using criteria to judge a
program's success and suitability; ethichal
issues involving the welfare state and income
distribution; and possible obligations beyond
one's political community and generation.
PUAF 660 Environmental Policy
Workshop (3 credits)
For PUAF majors only or permission of
department.
Students work as a team to analyze and
recommend responses to a current
enviornmental policy issue. Emphasizes
problem definition, organization of
information and presentation of results.
PUAF 670 Finance (3 credits)
For PUAF majors only or permission of
department.
Introduction to principles of resource
allocation over time, role of debt in context of
changing sources of governmental revenues,
long- and short-term debt instruments, analysis
of mixed public-private economic
development projects, leasing, and the impact
of borrowing devices.
PUAF 671 Public Sector Finance
(3 credits)
For PUAF majors only or permission of
department.
The goal of this course is to provide a useful
overview of basic public sector financial
management principles in a simulated
managerial situation to midcareer students
currently working in government and
nonprofit organizations.
PUAF 688 Topics in Public
Policy (1-3 credits)
Restricted to students in the School of
Public Policy degree program or
permission of instructor. Repeatable to 18
credits if content differs.
Special topics in Public Policy.
PUAF 689 Public Policy Topics
(1-3 credits)
Restricted to students in the School of
Public Policy degree program or
permission of instructor. Repeatable to 18
credits if content differs.
Special Topics in Public Policy.
PUAF 691 Conflict, Cooperation
and Strategy (3 credits)
For PUAF majors only or permission of
department.
Theoretical approaches to schematic analysis
of conflict and cooperation; bargaining,
negotiation, and collective decisions;
incentives and information; rules and
enforcement, secrecy and deceit; threats and
promises; interactive and interdependent
behavior.
PUAF 692 Leadership Principles
and Practices (3 credits)
For PUAF majors only or permission of
department.
This course will introduce leadership
principles and practices to students by
focussing on the theory of leadership, different
leadership themes and skills, and discussions
with practitioners.
PUAF 698 Selected Topics in
Public Affairs (1-3 credits)
For PUAF majors only or permission of
department.
Special topics that arise in public policy.
PUAF 699 Selected Topics
Public Policy (1-3 credits)
Prerequisite: permission of department. For
PUAF majors only.
Special topics that arise in public policy.
PUAF 700 U.S. Trade: Policy and
Politics (3 credits)
Prerequisites: {PUAF 620; and PUAF 640;
and PUAF 641 }. For PUAF majors only or
permission of department.
Interplay between government and private
interests in shaping official actions that affect
international trade. Policy tools available to
influence balance, magnitude, and
composition of imports and exports. Evolution
of executive, congressional and quasi-judicial
government institutions under increased U.S.
international trade exposure and trade deficit.
508
PUAF 706 Public Policymaking
for Journalists (3 credits)
For JOUR majors only.
Focuses on the political, procedural and
administrative realities of policy formation and
implementation. Specifically for the
Journalism students who staff the Capital
News Service.
PUAF 711 Public Management
and Leadership (3 credits)
For PUAF majors only or permission of
department.
Reviews the managerial, political, and ethical
problems faced by public sector managers and
leaders, including setting an organization's
goals, obtaining and protecting a program
mandate, designing a service delivery system
and implementing a new program.
PUAF 712 Analysis of Fiscal
Conditions (3 credits)
For PUAF majors only or permission of
department.
The financial operations of U.S. government at
various levels, with emphasis on local
governments. Practical problems in revenue
management, including revenue forecasting
and cash flow analysis; debt management
operations, such as borrowing;
intergovernmental financial operations, such
as grants management and reporting
requirements, and personnel management
issues that have a direct bearing on
governmental finances.
PUAF 715 Government and Non-
profit Accounting (3 credits)
For PUAF majors only or permission of
department.
Basic accounting practices of governmental
and non-profit organizations. Emphasis on
presentation of data in assessing an
organization's financial health, financial data
by organizations, structuring of accounting
information to achieve management control,
way in which evolving national standards
influence kinds of information organizations
have to apply in the future.
PUAF 716 State and Local
Government Budgeting (3
credits)
For PUAF majors only or permission of
department.
State and local government practices as a
laboratory for studying public sector financial
management.
PUAF 717 Federal Budgeting:
Policy and Process (3 credits)
For PUAF majors only or permission of
department.
Budgeting as a political and administrative
instrument of government. Development of
budgeting, the multiple uses of the budget,
including role in fiscal policy and resource
allocation, the roles and relationships of major
participants, and effects of resource scarcity on
budgeting behavior. Emphasis on the federal
level.
PUAF 720 International Security
Policy (3 credits)
Prerequisite: For PUAF majors only or
permission of department.
Reviews the principal features of international
security as currently practiced. Traces the
evolution of contemporary policy beginning
with the initiation of nuclear weapons
programs during World War II. Particular
emphasis is given to experience of the United
States and Russia, since the historical
interaction between these two countries has
disproportionately affected the international
security conditions that all other countries now
experience.
PUAF 722 Terrorism and
Democracy (3 credits)
For PUAF majors only or permission of
department.
United States government's decision process
for dealing with crises; the options available to
a president for deterring and handling
incidents of terrorism, and how a president can
and should select between the options.
PUAF 724 Problems of Global
Security (3 credits)
Prerequisite: For PUAF majors only or
permission of department. Formerly
PUAF698W.
Explores the international security
implications of globalization, presenting
evidence indicating that altered circumstances
will eventually induce a major redesign of
prevailing security arrangements. Includes
three segments: 1) a review of the principal
problems that have been the focus of
established security policy and would be the
context for any major adjustment of policy; 2)
an assessment of relationships with the major
countries where traditional problems are most
acutely present; and 3) a review of the
organizing principles that can be expected to
emerge in the new situation.
PUAF 732 Policy and Politics of
Education Reform (3 credits)
For PUAF majors only or permission of
department.
Examines education reform in its historical,
fiscal, cultural, and legal contexts, and the
changing relationship between education and
economic opportunity. Focuses on institutional
and normative issues, including national
standards, public school choice, charter
schools, vouchers and funding equity.
PUAF 734 Foundations of Social
Policy (3 credits)
For PUAF majors only.
Provides an overview of government's role in
social policy and the history of the
development of federal and state policies with
respect to welfare, aging, education, and
housing. Analyzes current federal institutions
and legislation in the same policy areas and
the demographic history of the United States.
Develops skills in analytic writing and
presentation of descriptive data.
PUAF 735 Health Policy (3
credits)
Analyzes the origins, history, status, and
future of health care as problems in political
and economic theory and as puzzles in policy
formation. Considers current American reform
controversies in the light of several disciplines
and in comparison to foreign experiences and
structures.
PUAF 736 Managing Social
Services (3 credits)
For PUAF majors only or permission of
department. Credit will be granted for only
one of the following: PUAF736 or
PUAF698V. Formerly PUAF698V.
Focuses on managing social services across
federal, state, and local jurisdictions with an
emphasis on how strong management can
improve results. Exposes students to
management thought and philosophy as
applied to different social services and social
policy challanges within various operating
environments and programmatic settings. The
watchwords for this course are "management"
and "applied".
PUAF 737 Strategies of Equality
(3 credits)
For PUAF majors only or permission of
department. Formerly PUAF698Y.
Concentrates on the institutional and political
means by which disadvantaged segments of
the United States population have sought to
enhance their social, economic and political
prospects. Race, gender and disability are the
substantive focal points, with considerable
attention given to the challanges of African
American socio-political uplift. Also explores
legislation, litigation, administration, agitation
(i.e. protest), and constitutional reform.
Students become familiar with alternative
conceptions of equality and the modes of
argument employed in different institutional
and political contexts.
PUAF 740 Public Policy and the
Environment (3 credits)
For PUAF majors only or permission of
department.
Surveys of major federal environmental
legislation; the development and
implementation of laws, and alternative ways
of thinking about the relationship between
humans and the environment.
PUAF 741 Global Environmental
Problems (3 credits)
For PUAF majors only or permission of
department.
Suitability of analytic tools for examining
global environmental problems, human
overpopulation, land abuse, ozone depletion,
climate change, acid rain, loss of biological
diversity, the scarcity of food, fresh water,
energy and nonfuel mineral resources, and
health hazards of pollutants toxic metals and
radiation.
PUAF 742 Environmental Ethics
(3 credits)
For PUAF majors only or permission of
department.
Analyzes issues such as the relation between
human beings and nature from the
perspectives of the science, history,
philosophy, and religion. Considers the bases
for policies such as environmental regulation,
public lands, and international conventions
with respect to the environment.
PUAF 743 Ecological
Economics (3 credits)
For PUAF majors only or permission of
department.
Course is based upon the text Valuing the
Earth: Economics, Ecology, and Ethics.
PUAF 744 Environment and
Development (3 credits)
Analyzes sustainable development and its
conflicting interpretations. The dominant
view, as expressed in the World Bank's 1992
World Development Report, is studied, along
with some critical responses. Further readings
on issues of population, consumption and
development indicators.
PUAF 745 Human Health and
Environmental Policy (3 credits)
Reviews the major human physiological
systems and their integrated toxicological
functions; considers key bodily defenses; and
509
discusses classic, emerging, and ambiguous
risks; in all ecological context. Applies to
scientific controversy, the methods of policy
formation, such as risk analysis, social-cost
analysis, "outcomes" analysis, and decision
analysis, all in political-economic context.
PUAF 746 Dynamic Modeling for
Environmental Investment and
Policy Making (3 credits)
For PUAF majors only or permission of
department. Formerly PUAF698M.
Examines the theory, methods and tools to
dynamic modeling for policy and investment
decision making, with special focus on
environmental issues. Provides extensive
hands-on modeling experience and makes use
of state-of-art computing methods to translate
theory and concepts into executable models.
PUAF 750 Topics in Normative
Analysis (3 credits)
Prerequisite: PUAF 650. For PUAF majors
only or permission of department.
Equity issues in income transfer and health
care policies; the role of ideals concerning the
environment and equal opportunity as they
pertain to regulation; and standards of personal
conduct in bureaucratic settings.
PUAF 752 Managing
Differences:Resolving Conflict
and Negotiating Agreements (3
credits)
For PUAF majors only or permission of
department.
Enhances the student's negotiation and
leadership skills for managing differences
between individuals and groups. Students
study the nature of conflict, learn how to
handle two and multiparty conflicts, exerting
leadership where there are no hierarchy
leaders, and explore the impact of facilitators
and mediators on the negotiating process.
Blends skill building exercises and theory
discussions about the behavior of groups and
individuals in groups to understand
negotiation dynamics.
PUAF 753 Advanced
Negotiations (3 credits)
Prerequisite: PUAF752. Credit will be
granted for only one of the following:
PUAF698C or PUAF753. Formerly
PUAF698C.
Deepens the student's negotiation and
leadership skills for managing differences
between individuals and groups. Cover
conflict, escalation, dealing with intractable
conflicts, sustaining agreements in inter- group
conflicts, and the effects of trauma on
negotiations.
PUAF 770 Seminar in Housing
and Community Development
Strategies (3 credits)
For PUAF majors only.
Detailed examination of community and social
policy issues relating to the construction and
management of affordable housing.
PUAF 771 Housing and
Community Development
Overview (3 credits)
Prerequisite: must be enrolled in the
executive training program sponsored by
the Department of Housing and Urban
Development.
An overview of the housing development
process. Community development context,
financial analysis, analytical tools including
microcomputer applications, architectural and
design issues, engineering constraints.
PUAF 772 Housing Finance (3
credits)
Prerequisite: must be enrolled in the
executive training program sponsored by
the Department of Housing and Urban
Development.
Fundamentals of housing investment analysis.
Structuring feasibility analyses, appraisals, pro
forma statements, return on investment,
leverage analysis, underwriting ratios, taxation
and syndication.
PUAF 773 Housing Clinic (3
credits)
Prerequisite: must be enrolled in the
executive training program sponsored by
the Department of Housing and Urban
Development.
Issues and strategies applicable to urban,
suburban, and rural areas. Field experience
and a team exercise, using the case study
method, will give an opportunity for concrete
application of the concepts to a specific set of
community problems.
PUAF 774 Asset Management (3
credits)
Prerequisite: must be enrolled in the
executive training program sponsored by
the Department of Housing and Urban
Development.
Asset manager's role at each stage of the
property's life cycle and property analysis,
including cash flow debt and staffing. Topics
include capital needs; major building systems,
costs and useful lives; marketing and outreach;
and anti-crime strategies. Field trips to
problem properties to perform cost/benefit
analysis, diagnose potential cures, and prepare
action plans.
PUAF 780 The American Foreign
Policy-Making Process (3
credits)
For PUAF majors only or permission of
department.
Survey and analysis of the governmental
institutions and processes which shape U.S.
global engagement on national security and
international economic issues. Particular
emphasis is given to executive-congressional
relations and the broader domestic roots of
foreign policy.
PUAF 781 International
Economic Policy (3 credits)
For PUAF majors only or permission of
department.
Issues and choices facing the United States in
today's global economy. Primary, but not
exclusive, emphasis is given to "competitive
interdependence" among advanced industrial
societies.
PUAF 782 International
Development Economics (3
credits)
For PUAF majors only or permission of
department. Credit will be granted for only
one of the following: PUAF698U or
PUAF782. Formerly PUAF698U.
Examines key current economic and policy
issues for developing and transition
economies. Topics include inflation
stabilization, fiscal policy, selected trade
issues, dealing with international capital flows,
the role of multilateral organizations, such as
the International Monetary Fund and the
World Bank, and issues relating to saving,
investment and growth.
PUAF 783 Development and
Foreign Aid (3 credits)
For PUAF majors only or permission of
department. Formerly PUAF698Q.
Examines the empirical, conceptual, and
ethical dimensions of international
development policies and U.S. foreign aid.
What is the present character of development
in poor countries/regions? How should
development be conceived? What
development strategies are best? What is and
should be the purpose of U.S. foreign aide and
development assistance?
PUAF 790 Project Course (3
credits)
For PUAF majors only or permission of
department.
Students work at a sponsoring government
agency or private firm researching problem of
interest to sponsor and relevant to
concentration. Emphasis on problem
definition, organizing information, and both
oral and written presentation of results.
PUAF 798 Readings in Public
Policy (1-3 credits)
For PUAF majors only or permission of
department.
Guided readings for discussions on public
policy.
PUAF 898 Pre-Candidacy
Research (1-8 credits)
PUAF 899 Doctoral Dissertation
Research (1-8 credits)
For PUAF majors only or permission of
department.
Real Estate
Development (RDEV)
RDEV 630 Fundamentals of Real
Estate Development and
Finance (3 credits)
For RDEV majors or others with
permission of department. Credit will be
granted for only one of the following:
RDEV630, RDEV688B, or URSP664.
Formerly RDEV688B.
An introduction to real estate development and
the foundational concepts of finance and
particular financial measures in underwriting
real estate projects. Quantitative analyses and
financial modeling comprise the main focus.
RDEV 635 Capital Markets and
Real Estate Investments for
Developers (3 credits)
For RDEV majors only or permission of
department. Prerequisite: RDEV630,
RDEV688B, or URSP664. Credit will be
granted for only one of the following:
RDEV635 or RDEV688F. Formerly
RDEV688F.
An advanced course in real estate finance
focusing on capital markets and complex
financing mechanism in the public and private
markets for raising capital for development of
public, private and public/private projects.
RDEV 650 Essentials of Design
and Construction Management
for Development Professionals
(3 credits)
For RDEV majors or permission of
510
department. Corequisite: RDEV640 and
RDEV610. Credit will be granted for only
one of the following: RDEV650 or
RDEV688C. Formerly RDEV688C.
Essential terminology, process and
substantative knowledge needed by
development professionals to effectively move
a project through the design and construction
process; includes environmental and ethical
considerations throughout the process.
RDEV 688 Selected Topics in
Real Estate Development (3
credits)
Prerequisite: permission of department.
Repeatable to 8 credits if content differs.
Selected topics in real estate development.
RDEV 689 Current Topics in
Real Estate Development (1-3
credits)
Explores a focused aspect in any of the five
major phases of real estate development:
planning, finance, law, design, construction, or
management.
Religious Studies
(RELS)
RELS 419 Advanced Topics in
Religious Studies (3 credits)
Recommended: HIST216 or RELS216.
Repeatable to 9 credits if content differs.
The contemporary study of religion in which
topics may address specific religious
traditions, regional or historical developments,
or methodological and theoretical issues.
RELS 499 Independent Study in
Religious Studies (1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
An advanced independent research project for
qualified students, supervised by a faculty
member, on a topic not ordinarily covered in
available courses.
RELS 619 Directed Readings in
Religous Studies (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Individual Instruction course.
Russian (RUSS)
RUSS 401 Advanced Russian
Composition (3 credits)
Not open to native speakers of Russian.
Prerequisite: RUSS302 or equivalent.
Approaches to argumentation, organization of
information, contextualized grammar,
appropriateness of lexical choice, genre, and
register.
RUSS 402 Practicum in Written
Russian (3 credits)
Prerequisite: RUSS401 or equivalent.
Designed to improve comprehension of
functional varieties of written Russian and
develop ability to present in written form
concise syntheses of source texts.
RUSS 403 Russian
Conversation: Advanced Skills
(3 credits)
Prerequisite: RUSS303 or equivalent.
Advanced spoken production of high-level,
abstract language.
RUSS 404 Practicum in Spoken
Russian (3 credits)
Prerequisite: RUSS403 or equivalent.
To improve comprehension of rapidly spoken
Russian of various functional styles and to
develop ability to synthesize orally the content
of spoken material.
RUSS 405 Russian-English
Translation I (3 credits)
Pre- or corequisite: RUSS302 or
equivalent.
Introduction to the principles of translation of
a particular genre, and is typically diplomatic,
business, or literary.
RUSS 406 Russian-English
Translation II (3 credits)
Prerequisite: RUSS405.
Continuation of RUSS405.
RUSS 407 Commercial Russian
II (3 credits)
Prerequisite: RUSS307.
Continuation of RUSS307 focusing in the
more difficult and complex Russian business
documents and Russian business ministries.
RUSS 409 Selected Topics in
Russian Language Study (3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Presentation of a topic in Russian language
study.
RUSS 410 Applied Russian
Linguistics (3 credits)
The nature of applied linguistics and its
contributions to the effective teaching of
foreign languages. Comparative study of
English and Russian, with emphasis upon
points of divergence. Analysis, evaluation and
construction of related drills.
RUSS 411 Linguistic Analysis of
Russian I (3 credits)
Pre- or corequisite: RUSS301.
Elucidation of theoretical concepts of modern
linguistics through the analysis of problematic
concepts in the Russian linguistic system.
Phonology and the syntax of the simple
sentence.
RUSS 412 Linguistic Analysis of
Russian II (3 credits)
Prerequisite: RUSS411.
Continuation of RUSS41 1. The syntax of the
complete sentence, semantics.
RUSS 431 Russian Literature of
the 19th Century I (3 credits)
RUSS 432 Russian Literature of
the 19th Century II (3 credits)
RUSS 433 Russian Literature of
the 20th Century (3 credits)
RUSS 434 Soviet Russian
Literature (3 credits)
RUSS 439 Selected Topics in
Russian Literature (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Presentation of a topic in Russian literature.
RUSS 473 Recent History of the
Russian Language (3 credits)
Linguistic interpretation of Russian texts from
the late 18th century to the present.
RUSS 499 Independent Study in
Russian (1-3 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits if content differs.
Independent study under faculty supervision.
RUSS 605 Practicum in
Russian/English Translation (3
credits)
Prerequisite: RUSS 402; or permission of
department.
Problems of translation in various modes, such
as business, law, diplomacy, and literature.
RUSS 606 Advanced Stylistic
Analysis of Russian (3 credits)
Prerequisite: RUSS 402 or permission of
department.
Evaluation of various functional styles of
Russian and proficiency in the writing of one
of these styles.
RUSS 610 Proseminar in
Russian Linguistic Analysis (3
credits)
Prerequisite: RUSS 412 or permission of
department.
A general orientation to linguistics (including
argumentation) and research skills (including
basic bibliography, library skills, and field
methods).
RUSS 611 Problems in Russian
Phonology and Morphology (3
credits)
Prerequisite: RUSS 41 1 or permission of
department. Corequisite: RUSS 610.
Treatment of Russian phonetics, phonology
(including morphophonemics), and
morphology.
RUSS 612 Problems in Russian
Syntax (3 credits)
Prerequisite: RUSS 412 or permission of
department.
Treatment of Russian syntax in the framework
of current linguistic theory.
RUSS 613 Problems in Russian
Semantics (3 credits)
Prerequisite: RUSS 412 or permission of
department.
Treatment of Russian lexical and grammatical
semantics.
RUSS 618 Special Topics in
Linguistic Analysis of Russian
(3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Aspects of Russian linguistics such as stress,
verbal, tense, taste, word order, or problems in
lexical semantics.
RUSS 619 Seminar in Linguistic
Analysis of Russian (3 credits)
Prerequisites: RUSS 610 and one of RUSS
611, RUSS 612, RUSS 613. Repeatable to
6 credits if content differs.
Current research in Russian linguistic analysis.
RUSS 673 History of the
Russian Language (3 credits)
Prerequisite: SLAV 475.
Introduction to historical Russian grammar
and phonological developments in Russian.
RUSS 679 Special Topics in
Slavic Linguistics (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Topics concerning contrastive, historical, and
dialectical Slavic linguistics, in relation to our
understanding of grammatical theory.
RUSS 798 Independent Study (1-
3 credits)
511
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
RUSS 799 Thesis Research (1-6
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Second Language
Acquisition and
Application (SLAA)
SLAA 610 Research and
Theories in Second Language
Acquisition (3 credits)
Not open to students who have completed
GERM 689S, FREN 699S, RUSS 798S,
SPAN 698S, or SPAN 699S during the Fall
2001 semester..
Introduction to current theories and research
findings Second Language Acquisition (SLA).
SLAA 611 Fundamentals of
Foreign Language Acquisition
and Instruction (3 credits)
Not open to students who have completed
GERM 689A, FREN 699 A, or SPAN 698A
during the Spring 2001 semester..
Introduction to theoretical and practical issues
relevant to foreign language learning,
language acquisition, and curriculum
construction.
SLAA 613 Design and
Management of Language
Learning Environments (3
credits)
A critical analysis of various second language
learning environments, including traditional
classroom-based models, immersion
programs, study abroad, heritage learners,
individualized instruction, and self-managed
learning.
SLAA 620 Second Language
Research Methodologies (3
credits)
Prerequisite: SLAA 610. Pre- or
corequisite: SLAA 611.
An exploration of research methodology in
second language acquisition (SLA), with a
focus on developing practical skills in data
analysis and interpretation. Preparation in both
critical evaluation of existing research and
design of new research models.
SLAA 629 Special Topics in
Sociolinguistics (3 credits)
Repeatable to 09 credits if content differs.
Current topics in research in sociolinguistics.
SLAA 639 Special Topics in
Applied Linguistics (3 credits)
Repeatable to 09 credits if content differs.
Current topics in research in applied
linguistics.
SLAA 649 Special Topics in
Second Language Acquisition (3
credits)
Repeatable to 9 credits if content differs.
Current topics in research in second language
acquisition.
SLAA 719 Second Language
Acquisition and Application
Internship (3 credits)
Repeatable to 06 credits if content differs.
Internship at a site to be determined. Topics
may include heritage language learning,
immersion education, testing and assessment,
translation and interpretation, and national
language planning and policy.
SLAA 740 Research Issues in
Second Language Learning (3
credits)
Not open to students who have completed
SLAA649L in Spring 2004. Credit will be
granted for only one of the following:
SLAA649L or SLAA740.
Current topics in second language learning
research including interlanguage development,
negative feedback, maturational constraints,
units of acquisition, stabilization/fossilization,
aptitude and aptitude training.
SLAA 741 Cognitive Processes
in Second Language Learning (3
credits)
Prerequisite: SLAA610 or equivalent and
permission of instructor.
Examines the roles played by varied types of
learning processes and memory, general
processing issues, and the cognitive bases of
individual differences in learning and
processing a second language.
SLAA 742 Second Language
Processing (3 credits)
Prerequisite: MA students need permission
of instructor.
Covers leading theoretical approaches and
experimental methods in second language
processing. Draws on research and theories in
Second Language Acquisition (SLA), formal
linguistics, cognitive grammar,
psycholinguistics, and neurolinguistics.
SLAA 743 Interlanguage Studies
(3 credits)
Prerequisite: SLAA610 or permission of
instructor.
Review of the accepted facts about
Interlanguage development and critical look at
the many, often mutually exclusive,
mechanisms and processes claimed by
advocates of different theories of Second
Language Acquisition.
SLAA 744 Age Effects in Second
Language Learning (3 credits)
Prerequisite: SLAA610 and permission of
instructor. Credit will be granted for only
one of the following: SLAA649M or
SLAA744. Formerly SLAA649M.
Consideration of the empirical evidence for
age effects in second language learning and its
potential confounds. Critical evaluation of the
differing interpretations of these effects and
their implications for educational practice,
SLA theory, development psychology, and
research methodology.
SLAA 749 Special Topics in
Second Language Learning (3
credits)
Prerequisite: SLAA610 or permission of
instructor.
Current topics in research on Second
Language Learning.
SLAA 750 Instructed Second
Language Acquisition (3
credits)
Prerequisite: SLAA610.
Survey of studies on effectiveness of SLA
instruction within various domains of
language, with focus on research design.
SLAA 751 Second Language
Classroom Research (3 credits)
Prerequisite: SLAA610.
Critical evaluation of the evolution and current
state of second language classroom research,
focusing on theoretical concerns,
methodological issues, and substantive
findings.
SLAA 754 Task-Based
Language Teaching (3 credits)
Not open to students who have completed
SLAA649T in Fall 2004 or Fall 2005.
Credit will be granted for only one of the
following: SLAA649T or SLAA754.
Formerly SLAA649T.
Overview of Task-Based Language Teaching
(TBLT) including needs and means analysis,
syllabus design, materials writing,
methodology and pedagogy, testing, and
evaluation. Theoretical issues addressed
include relationship of TBLT to research
findings on the psychology of learning and
SLA and libertarian approaches to education.
SLAA 759 Special Topics in
Second Language Instruction (3
credits)
Prerequisite: permission of department.
Repeatable to 12 credits.
Topics in the theory and practice of second
language instruction.
SLAA 760 Fundamentals of
Second Language Assessment
(3 credits)
Prerequisite: SLAA610.
An overview of current assessment models in
foreign and second language learning.
SLAA 770 Sociolinguistics in
Second Language Acquisition (3
credits)
Not open to students who have completed
SLAA629 in Fall 2003 or Fall 2005. Credit
will be granted for only one of the
following: SLAA629 or SLAA770.
Introduces basic sociolinguistic concepts with
special emphasis on the context of second
language acquisition, including classroom and
uninstructed late learning situations.
SLAA 772 Bilingualism and
Multilingualism (3 credits)
Prerequisite: SLAA610 or permission of
instructor.
Critical exploration of concepts in
bilingualism and multilingualism with
emphasis on the social environments of second
language acquisition, through the lens of
cognitive and social frameworks. Implications
of bilingualism for memory, affect, language
processing and code-switching/mixing, as well
as the social implications of knowing and
using more than one language.
SLAA 773 The Heritage
Language Speaker (3 credits)
Master students need permission of
instructor.
Critical exploration of the theoretical issues
and existing experimental research on heritage
language learning and use as well as
consideration of classroom and curricular
implications of heritage language learning.
SLAA 779 Directed Research in
Second Language Acquisition
and Application (1-3 credits)
Repeatable to 03 credits if content differs.
Directed independent research in Second
Language Acquisition or Application. In this
512
capstone project, students engage in
independent research under faculty direction.
SLAA 798 Master's Independent
Study (1-3 credits)
Repeatable to 06 credits if content differs.
SLAA 799 Master's Thesis
Research (1-6 credits)
SLAA 888 Doctoral Independent
Study (1-3 credits)
Prerequisite: permission of department.
Repeatable to 9 credits if content differs.
Individual instruction course.
SLAA 898 Pre-Candidacy
Research (1-8 credits)
SLAA 899 Doctoral Dissertation
Research (1-8 credits)
Slavic (SLAV)
SLAV 469 Selected Topics in
Slavic Studies (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Presentation of a topic in Slavic studies.
SLAV 475 Old Church Slavonic
(3 credits)
Introduction to the language of the oldest
recorded Slavic documents. Historical
presentation of phonology, morphology, and
syntax; reading of texts.
SLAV 479 Selected Topics in
Slavic Linguistics (3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Presentation of a topic in Slavic linguistics.
SLAV 499 Directed Study (1-3
credits)
Prerequisite: permission of department. For
advanced students. Repeatable to 6 credits
if content differs.
School of
Languages,
Literatures and
Cultures (SLLC)
SLLC 400 Articulatory Phonetics
for Second Language
Acquisition and Application (3
credits)
Junior standing. Also offered as SLLC600.
Credit will be granted for only one of the
following: SLLC400 or SLLC600.
The mechanical capabilities of the human
vocal apparatus for producing speech sounds,
and their terminology and transcription in the
International Phonetic Alphabet. Emphasis is
on the practical needs of the teacher and
student of foreign language, rather than the
theoretical linguist or the hearing-and-speech
pathologist. The phonetics of major languages
are also introduced, with attention to the
pedagogy of their phonetics.
SLLC 471 The Cultural
Environment of Global Business
(3 credits)
Sophomore standing. Credit will be granted
for only one of the following: ARHU439B,
ARHU439E, ARHU439T, ENES472,
SLLC471, SLLC472 or SLLC473.
Formerly ARHU439B.
The goal of this course is to provide students
with an understanding of cultural aspects
pertaining to global business, and thereby
increasing their awareness of the cultural
factors that motivate decisions and behavior in
the business world. Students will gain an
understanding of how the business cultures in
the rest of the world diverge from the
American, and develop the cultural
understanding, attitudes, and communication
skills needed to function appropriately within
an increasingly global and multicultural
working environment.
SLLC 472 International
Business Cultures in
Engineering and Technology (3
credits)
Sophomore standing. Also offered as
ENES472. Credit will be granted for only
one of the following: ARHU439B,
ARHU439E, ARHU439T, ENES472,
SLLC471, SLLC472 or SLLC473.
Formerly ARHU439T.
The goal of this course is to provide students
with an understanding of cultural aspects
pertaining to global business and engineering,
and thereby increasing their awareness of the
cultural factors that motivate decisions and
behavior in the business world. Students will
gain an understanding of how the business
cultures in the rest of the world diverge from
the American, and develop the cultural
understanding, attitudes, and communication
skills needed to function appropriately within
an increasingly global and multicultural
working environment.
SLLC 473 European Business
Cultures (3 credits)
Sophomore standing. Credit will be granted
for only one of the following: ARHU439B,
ARHU439E, ARHU439T, ENES472,
SLLC471, SLLC472 or SLLC473.
Formerly ARHU439E.
The goal of this course is to provide students
with an understanding of cultural aspects
pertaining to European business, and thereby
increasing their awareness of the cultural
factors that motivate decisions and behavior in
the European business world. Students will
gain an understanding of how the European
business cultures diverge from the American,
and develop the cultural understanding,
attitudes, and communication skills needed to
function appropriately within an increasingly
global and multicultural working environment.
SLLC 499 Special Topics in
World Cultures (3 credits)
Repeatable to 12 credits if content differs.
Interdisciplinary, transnational or cross-
language course; specific topic to be
announced.
SLLC 601 Teaching Foreign
Languages in Higher Education
(1 credits)
Prerequisite: permission of department.
Credit will be granted for only one of the
following: SLLC 601 or SPAN 605.
Formerly SPAN605.
Methods and materials for teaching foreign
languages in higher education.
SLLC 698 Special Topics in
Interdisciplinary Studies (1-3
credits)
Cross-departmental or cross-programmatic
study within the School of Languages,
Literatures, and Cultures. Topic to be
announced when course is offered.
SLLC 789 Master's Independent
Study (1-3 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits if content differs.
SLLC 878 Pedagogical
Mentoring for Doctoral Students
(1 credits)
Prerequisite: SLLC601 and permission of
department. Repeatable to 8 credits if
content differs.
Pedagogical mentoring by faculty members for
doctoral students who have completed their
first semester of TA training.
SLLC 889 Doctoral Independent
Study (1-3 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits if content differs.
Sociology (SOCY)
SOCY 401 Intermediate
Statistics for Sociologists (4
credits)
Three hours of lecture and two hours of
laboratory per week. Prerequisite:
SOCY201 or equivalent or permission of
department. Not open to students who have
completed ENEE324, BMGT231, or
STAT400.
Issues in the use of significance tests in
sociology, one and two-way analysis of
variance, elements of multiple regression and
correlation, techniques for the analysis of
nominal and ordinal data.
SOCY 402 Intermediate
Procedures For Data Collection
(3 credits)
Prerequisite: SOCY202 or equivalent; or
permission of department.
An intermediate survey of the major research
methods used by sociologists, including
survey research, experimentation, observation,
archival research, and in-depth interviewing.
The selection of an appropriate research
method, with analysis of the strengths and
weaknesses of various methods, practical
issues, data collection and preparation, and
analytical techniques.
SOCY 403 Intermediate
Sociological Theory (3 credits)
Prerequisite: SOCY203 or permission of
department.
Major theoretical approaches, including
functionalism conflict, symbolic
interaction ism, and their implicit methods of
logic illustrated by case studies. Original
works of major theorists in historical
perspective.
SOCY 410 Social Demography
(3 credits)
Prerequisite: six credits of sociology or
permission of department.
Types of demographic analysis; demographic
data; population characteristics; migration;
mortality; fertility; population theories; world
population growth; population policy.
SOCY 411 Demographic
Techniques (3 credits)
Prerequisite: SOCY201 or equivalent and
SOCY 410; or permission of department.
Basic techniques for analyzing population
structure and demographic processes,
including fertility, mortality and migration.
513
SOCY 412 Family Demography
(3 credits)
Prerequisite: six credits of sociology course
work. Formerly SOCY312.
Family and population dynamics. Fertility
issues, such as teenage pregnancy, the timing
of parenthood, and family size; as they relate
to family behavior, such as marital patterns,
child care use, and work and the family. Policy
issues that relate to demographic changes in
the family.
SOCY 421 Women and Men in
the World System (3 credits)
Prerequisite: six credits of Sociology or
permission of department.
Critical overview of major approaches to
development (modernization, dependency,
world-systems) within comparative sociology.
Impact on empirical research and the design
and implementation of strategies of
development. Salient topics in the comparative
sociology of development including:
democratization, trends in world income
inequalities, structural adjustment programs,
and changing patterns of labor force
participation by women and men.
SOCY 424 Sociology of Race
Relations (3 credits)
Prerequisite: six credits in sociology or
permission of department. Also offered as
AAST424. Credit will be granted for only
one of the following: AAST424 or
SOCY424.
Analysis of race-related issues, with a primary
focus on American society. The historical
emergence, development, and
institutionalization of racism; the impact of
racism on its victims; and racially based
conflict.
SOCY 428 Research in
Inequality (3 credits)
Prerequisite: SOCY202, SOCY203 and one
course in Stratification and Inequality.
Repeatable to 6 credits if content differs.
This is the special topics research course for
Stratification and Inequality.
SOCY 430 Social Structure and
Identity (3 credits)
Prerequisite: six credits of sociology or
permission of department.
Theoretical issues in social psychology,
focusing on social construction of identity.
Identity formation and transformation in social
process. Structural and cultural dimensions of
social identity.
SOCY 431 Principles of
Organizations (3 credits)
Prerequisite: six credits of sociology or
permission of department.
Structural and processual characteristics of
organizations that make them effective for
different purposes and in different
environments. Effects of different institutional
environments, small group processes,
organizational networks, and leadership.
Types of organizations studied include formal
bureaucracies, professional organizations, and
voluntary associations.
SOCY 432 Social Movements (3
credits)
Prerequisite: six credits of sociology or
permission of department.
Movements that seek change in the social and
political structure of society. Origins, tactics,
organization, recruitment, and success. Case
studies come from such movements as labor,
civil rights, student, feminist, environmental,
neighborhood, and gay rights.
SOCY 438 Research in
Organizations and Institutions
(3 credits)
Prerequisite: SOCY202, SOCY203, and
one course in Organizations and
Institutions. Repeatable to 6 credits if
content differs.
This is the special topics research course for
Organizations and Institutions.
SOCY 440 Sociology of the Self-
Concept (3 credits)
Prerequisite: six credits of sociology or
permission of department.
The nature of the self-concept and the social
forces that mold it. Major sociological,
psychological, and psycho-analytic theories of
the self-concept. Self-concept motives,
mechanisms of self-defense, and the nature of
a healthy self-concept. Empirical research
dealing with the bearing of social interaction,
social structure, social context and social
institutions on the self-concept.
SOCY 441 Social Stratification
and Inequality (3 credits)
Prerequisite: six credits of sociology or
permission of department. Junior standing.
The sociological study of social class, status,
and power. Topics include theories of
stratification, correlates of social position,
functions and dysfunctions of social
inequality, status inconsistency, and social
mobility.
SOCY 442 The Family and
Social Class (3 credits)
Prerequisite: six credits of sociology or
permission of department.
Development of the family from pre-industrial
to contemporary period. Emphasis upon class
differences in family functioning and the roles
of husbands and wives. Changes in these roles
from pre-industrial to postindustrial period,
and variations by race. Discussion of the
emergence of dual-worker and dual-career
families and the issues they face.
SOCY 443 The Family and
Society (3 credits)
Prerequisite: six credits of sociology or
permission of department.
Study of the family as a social institution; its
biological and cultural foundations, historical
development, changing structures and
functions, the interaction of marriage and
parenthood, disorganizing and reorganizing
factors in present-day trends.
SOCY 444 Sociology of Children
(3 credits)
Prerequisite: six credits of sociology or
permission of department.
Socio- historical analysis of the changing
nature and meaning of childhood. Analysis of
social psychological, demographic, and
socioeconomic aspects of contemporary
children's lives, with a focus on peer groups,
gender relations, family change,
macroeconomic conditions, poverty, health,
and educational well-being of children.
SOCY 447 Small Group Analysis
(3 credits)
Prerequisite: SOCY201 or equivalent or
permission of department.
Analysis of small group structures and
dynamics. Review of research on small groups
in real life settings and in laboratories.
Presentation of techniques used in small
groups.
SOCY 448 Research in Social
Psychology (3 credits)
Prerequisite: SOCY202, SOCY203, and
one course in Social Psychology.
Repeatable to 6 credits if content differs.
This is the special topics research course in
Social Psychology
SOCY 450 Measurement of
Time, Work, and Leisure (3
credits)
Prerequisite: six credits of sociology or
permission of department.
How Americans use time, with specific
reference to work, housework, personal and
free time activities. Time-use differences
across methods, social groups and cultures.
Subjective time. Implications for time
management, societal quality of life, social
policy, and theory.
SOCY 460 Sociology of Work (3
credits)
Prerequisite: six credits of sociology or
permission of department.
Analysis of the American work world with
special attention to the impact of social change
and occupational conflicts on the individual
worker. Professionalization, career patterns,
problems of minority groups and the future of
work.
SOCY 463 Sociology of Combat
(3 credits)
Prerequisite: six credits of sociology or
permission of department.
Sociological theories and concepts related to
combat. Influence of historical events on
relations between nations and between the
military and society. Effects of U.S. social
structure on actions in combat; effects of
involvement in combat on social structure and
on members of society. Cohesion and
leadership in military units.
SOCY 464 Military Sociology (3
credits)
Prerequisite: six credits of sociology or
permission of department.
Social change and the growth of military
institutions. Complex formal military
organizations. Military service as an
occupation or profession. The sociology of
military life. Relations between military
institutions, civilian communities and society.
SOCY 465 The Sociology of War
(3 credits)
Prerequisite: six credits of sociology or
permission of department.
The origin and development of armed forces
as institutions, the social causes, operations
and results of war as social conflict; the
relations of peace and war and revolution in
contemporary civilizations.
SOCY 467 Sociology of
Education (3 credits)
Prerequisite: six credits of sociology or
permission of department.
Sociological analysis of educational
institutions and their relation to society: goals
and functions, the mechanisms of social
control, and the impacts of stratification and
social change. Study of the school as a formal
organization, and the roles and subcultures of
teachers and students.
514
SOCY 498 Selected Topics in
Sociology (1-3 credits)
Prerequisite: six credits of sociology or
permission of department. Repeatable to 6
credits.
Topics of special interest to advanced
undergraduates in sociology. Such courses will
be offered in response to student request and
faculty interest.
SOCY 601 Statistics For
Sociological Research I (3
credits)
Prerequisite: SOCY 201 or equivalent, and
permission of instructor or graduate
director. Credit will be granted for only one
of the following: SOCY 601 and SURV
601.
Introductory statistical concepts are covered
including descriptive statistics, probability,
sampling distributions, expected values,
hypothesis testing, tests of significance,
measures of association, and if time permits,
introduction to regression analysis. Statistical
programming software may be used.
SOCY 602 Statistics For
Sociological Research II (3
credits)
Prerequisite: SOCY 601 or equivalent, and
permission of instructor or graduate
director. Credit will be granted for only one
of the following: SOCY 602 or SURV
602.
This course introduces regression analysis
using matrix algebra. Topics include bivariate
regression, multivariate regression, tests of
significance, regression diagnostics, indicator
variables, interaction terms, extra sum of
squares, and the general linear model. Other
topics may be addressed such as logistic
regression and path analysis. Statistical
programming software may be used.
SOCY 604 Survey Research
Methods (3 credits)
The design, collection, and analysis of data
using the method of the social survey.
Comparison of the advantages and
disadvantages of the survey method with those
of other methods of social inquiry. Control
over the major sources of survey variation:
survey mode, sampling, questionnaire format,
question wording, interviewing and coding.
Measurement and multivariate analysis
alternatives.
SOCY 609 Practicum in Social
Research (3 credits)
Prerequisite: permission of instructor.
The conduct of research in collection and
analysis of social science data under the
guidance of experienced investigators.
Emphasis on a particular research area of
procedure, e.g. secondary analysis of survey
data; experimental design; evaluation of
research; data collection techniques.
SOCY 611 Introduction to
Demographic Methods (3
credits)
Survey of standard demographic methods for
the description and analysis of population size,
structure and composition, including
techniques for the analysis of fertility,
mortality and migration.
SOCY 618 Computer Methods
for Sociologists (3 credits)
Prerequisite: SOCY 400 or SOCY 401 or
equivalent and elementary knowledge of a
programming language, CMSC 120,
CMSC 220 or equivalent and permission of
instructor,
Designed to present the potential of the
computer as a tool in sociological research.
Projects involving programming and running
of data manipulation techniques, statistical
techniques, and simple simulations.
SOCY 620 Development of
European and American
Sociological Theory (3 credits)
Prerequisite: SOCY203 or SOCY403 or
equivalent, or permission of instructor.
Review of the history of sociological thought
with major attention to the key figures (from
Marx to C. Wright Mills).
SOCY 621 Contemporary
Sociological Theory (3 credits)
Prerequisite: SOCY 203 or SOCY 403
and/or SOCY 620 permission of instructor.
Review of sociological theory since
approximately 1970, with emphasis given to
schools of thought (from symbolic interaction
to post- modernism) and priciple participants
in them (from Goffman to Baudrilliard).
SOCY 622 The Sociology of
Knowledge (3 credits)
Analysis of the relation of types of knowledge
to social structure. Role of social class and
social organization in the development of
science, political ideology, belief systems and
social values. Social roles associated with
production of knowledge.
SOCY 624 Lives and Times:
Socialization Across the Life
Course (3 credits)
Prerequisite: permission of instructor.
The life course as a theoretical perspective, a
methodology, and a field of study, with focus
on the intersection of human development and
changing societies; development of individual
life course trajectories: the life course and
institutional contexts; using a life course lens
to examine various substantive topics.
SOCY 626 Demography of Aging
(3 credits)
Examines the demographic foundations of
population aging, focusing on macro and
historical patterns as well as on trends in
mortality health and disability. Also examines
the relationship between aging and social
institutions such as the family, the economy
and public policy.
SOCY 627 Migration (3 credits)
Examines theories of immigration and
immigrant adaptation, empirical patterns of
migration and immigration, the economic and
social effects of immigration, as well as
immigration policy issues.
SOCY 630 Population and
Society (3 credits)
Selected problems in the field of population;
quantitative and qualitative aspects; American
and world problems.
SOCY 631 Comparative
Sociology (3 credits)
Cross-national analysis of selected social
institutions.
SOCY 634 Attitudes and Public
Opinion (3 credits)
Processes involved in the formation of
attitudes; effects of communication;
measurement techniques.
SOCY 635 Social Aspects of
Fertility (3 credits)
Prerequisite: permission of instructor.
Demographic and socioeconomic aspects of
fertility behavior; causes and consequences of
fertilty decline; relationship between women's
status and fertility; determinants of adolescent
and nonmarital fertility; differential fertility by
race/ethnicity and migration status.
SOCY 637 Demography Of The
Labor Force (3 credits)
Prerequisite: permission of instructor.
Demographic trends as related to the
composition of the U.S. labor force and trends
in income; employment status of immigrants,
women, and minorities; relationship between
skills and jobs; types of data available for
study of the labor force.
SOCY 640 Population Policy in
Social Context (3 credits)
Credit will be granted for only one of the
following: SOCY640 or SOCY731.
Formerly SOCY731.
Both implicit and explicit population policies
from an international perspective, and the
political and social context in which they
occur are examined. Of special interest are the
assumptions that underly population policies
about the nature of the family and gender
relations and the role of ideology in the
science-policy nexus.
SOCY 641 Work and Family
Policy (3 credits)
This course examines how work policies affect
the welfare of employees and their families
and how family policies affect work
opportunities for family members. The focus is
on the U.S. and European countries, and
special attention is given to how women,
minorities, children, and the elderly fare under
certain policies.
SOCY 642 The Sociology of
Mental Health (3 credits)
Social factors that influence mental health.
Group dynamics of mental health preservation.
SOCY 644 Gender, Work, and
Family (3 credits)
The interrelationships among gender, work,
and family in contemporary societies. Major
research issues addressed from an
interdisciplinary and comparative
(international) perspective.
SOCY 645 Sociology of the Self
Concept (3 credits)
Theory and empirical research dealing with
the social determination and social
consequences of the self-concept.
Sociological, psychological, and
psychoanalytic approaches to the self.
SOCY 651 Gender and
Development (3 credits)
Prerequisite: permission of instructor.
Implications of recent global economic and
political transformations for the lives of Third
World women and for gender relations;
intersection between feminist theory and
development theory.
SOCY 653 Family Demography
(3 credits)
Prerequisite: permission of instructor.
Demograpic perspective on family and
household relationships; relationships among
economic institutions, family structure, and the
content of family life; research from
515
contemporary U.S., historical and cross-
cultural sources.
SOCY 654 Military Families (3
credits)
Prerequisite: permission of instructor.
Relationship between work organization and
the family in the armed forces; theoretical
approaches to the study of work and family;
research on military family life style;
demographic profiles of military families;
organizational demands and their effects on
service members and families; family policy in
the military.
SOCY 660 Theories of Social
Psychology (3 credits)
Prerequisite: undergraduate training in
sociological research methods, statistics,
and theory or equivalent.
An introduction to some of the theories in
social psychology that are particularly useful
to sociologists. Topics to be covered include
theories of cognitive consistency, social
exchange, symbolic interaction, role theory,
group processes, and collective behavior.
SOCY 661 Social Stratification
(3 credits)
Prerequisite: permission of instructor.
Major theoretical and research problems in the
sociology of social stratification. The
characteristics, correlates, and consequences
of class and status stratification; the
distribution of power; the relationship of social
stratification to ideology and the institutional
orders of society.
SOCY 664 Armed Forces and
Society (3 credits)
Analysis of the relationship between military
organization and modern industrial society.
Growth and decline of the mass army, the
transition from conscription to all-volunteer
forces, the social legitimacy of military
organization, the military as a form of
industrial organization, and problems of civil-
military relations in the modern world.
SOCY 666 Poverty and Welfare
(3 credits)
Examines the nature and extent of poverty in
the US, including topics such as views of
poverty, poverty measurements, the
characteristics of the poverty population,
international comparisons, underlying causes
of poverty, and government policies that
adddress poverty.
SOCY 671 Sociology of
Development (3 credits)
Prerequisite: permission of instructor.
Third World development at institutional,
organizational, and community levels; factors
contributing to success, effectiveness and
sustainability of development and to problems
and hindrances.
SOCY 682 Race, Gender and
Class: Theory and Research (3
credits)
Prerequisite: permission of instructor.
Theory and research on the ways in which
class position, race, and gender function
simultaneously to produce outcomes in the
lives of individuals and in society; historical
development and current patterns in the United
States, in developing countries and in the
global economy.
SOCY 699 Special Social
Problems (1-16 credits)
SOCY 701 Issues in the
Integration of Theory and
Method (3 credits)
Prerequisite: Advanced status in the
sociology Ph.D. program, or permission of
instructor,
The course is intended solely for advanced
doctoral sociology students. The main
objective of the course is to develop a
dissertation proposal. Consequently, this
course may only be taken during or after the
semester in which the student completes the
specialty (comprehensive) exams.
SOCY 709 Advanced Special
Topics in Data Analysis (3
credits)
Prerequisite: permission of instructor. May
be repeated for credit with permission of
instructor,
An intensive examination of an area of interest
in data analysis, including such topics as log
linear analysis; discriminant function analysis;
canonical correlation; factor analysis; analysis
of qualitative data; content analysis;
mathematical models.
SOCY 719 Advanced Special
Topics in Social Psychology (3
credits)
Prerequisite: permission of instructor. May
be repeated for credit with permission of
instructor,
An intensive review of an area of current
interest in the field, including such topics as
social influence; interpersonal attraction;
equity theory; the dramaturgical perspective;
stress and coping; inteipersonal conflict; the
social psychology of large organizations.
SOCY 728 Advanced Special
Topics in Meta-theory (3
credits)
Prerequisite: permission of instructor. May
be repeated for credit with permission of
instructor,
An intensive examination of an area of interest
in sociological theory, including such topics as
paradigm conflicts; philosophy of social
science; value issues in sociological theory;
formal theory.
SOCY 729 Advanced Special
Topics in Substantive Theory (3
credits)
Prerequisite: permission of instructor. May
be repeated for credit with permission of
instructor,
An intensive examination of an area of interest
in theory or a school of sociological theory,
including such topics as ethno methodology;
structuralism; Marxism and critical theory;
historical study of a major sociological theorist
such as Marx, Weber, or Durkheim.
SOCY 739 Advanced Special
Topics in Organizations and
Occupations (3 credits)
Prerequisite: permission of instructor. May
be repeated for credit with permission of
instructor,
An intensive review of an area of current
interest in the field, including such topics as
managing organizational data sets; problems
of industrial democracy; quality of work life;
innovation and productivity.
SOCY 749 Advanced Special
Topics in Demography (3
credits)
Prerequisite: permission of instructor. May
be repeated for credit with permission of
instructor.
An intensive review of an area of current
interest in the field, including such topics as
population policy; social and demographic
issues in aging; migration; family
demography.
SOCY 758 Advanced Special
Topics in Sex Roles (3 credits)
Prerequisite: permission of instructor. May
be repeated for credit with permission of
instructor.
An intensive review of an area of current
interest in the field, including such topics as
labor force participation; comparative studies;
sex roles and aging; gender socialization.
SOCY 759 Advanced Special
Topics in Sociology of the
Family (3 credits)
Prerequisite: permission of instructor. May
be repeated for credit with permission of
instructor,
An intensive review of an area of current
interest in the field, such as alternative family
life styles, cross-cultural and comparative
family studies; victimization (sexual and
physical abuse).
SOCY 769 Advanced Special
Topics in Military Sociology (3
credits)
Prerequisite: permission of instructor. May
be repeated for credit with permission of
instructor,
An intensive review of an area of current
interest in the field, including such topics as
women in the military; conscription and
national service; organizational change in the
military; comparative studies of the military.
SOCY 789 Advanced Special
Topics in Social Stratification (3
credits)
Prerequisite: permission of instructor. May
be repated for credit with permission of
instructor,
An intensive examination of an area of interest
in the field, including such topics as
macrostratification; measurement of prestige;
institutional variation in status attainment.
SOCY 799 Master's Thesis
Research (1-6 credits)
SOCY 819 Research Seminar in
Social Psychology (1 credits)
Prerequisite: permission of instructor. May
be repeated for credit with permission of
instructor,
An advanced research seminar for students
preparing to do research or take
comprehensive examinations in social
psychology.
SOCY 829 Research Seminar in
Sociological Theory (1 credits)
Prerequisite: permission of instructor. May
be repeated for credit with permission of
instructor.
An advanced research seminar for students
preparing to do research or take
comprehensive examinations in sociological
theory.
SOCY 839 Research Seminar in
Organizations and Occupations
(1 credits)
516
Prerequisite: permission of instructor.
Repeatable to 6 credits.
An advanced research seminar for students
preparing to do research or take
comprehensive examinations in organizations
or occupations.
SOCY 849 Research Seminar in
Demography (1 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits.
An advanced research seminar for students
preparing to do research or take
comprehensive examinations in demography.
SOCY 858 Research Seminar in
Gender, Work and Family (1
credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits.
An advanced research seminar for students
preparing to do research or take the specialty
examination in Gender, Work and Family.
SOCY 859 Research Seminar in
Sociology of the Family (1
credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits.
An advanced research seminar for students
preparing to do research or take
comprehensive examinations in sociology of
the family.
SOCY 869 Research Seminar in
Military Sociology (1 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits.
An advanced research seminar for students
preparing to do research or take
comprehensive examinations in military
sociology.
SOCY 889 Research Seminar in
Social Stratification (1 credits)
Prerequisite: permission of instructor.
Repeatable to 6 credits.
An advanced research seminar for students
preparing to do research or take
comprehensive examinations in stratification.
SOCY 898 Pre-Candidacy
Research (1-8 credits)
SOCY 899 Doctoral Dissertation
Research (1-8 credits)
Spanish (SPAN)
SPAN 401 Advanced
Composition I (3 credits)
Prerequisite: SPAN302 or permission of
department.
Compositions and essays with emphasis on
stylistics, idiomatic and syntactic structures.
Organization and writing of research papers.
SPAN 402 Advanced
Composition II (3 credits)
Prerequisite: SPAN401 or permission of
department.
Compositions and essays with emphasis on
stylistics, idiomatic and syntactic structures.
Organization and writing of research papers.
SPAN 403 Research and
Information Sources in Latin
American Studies (1 credits)
Two hours of lecture per week.
Corequisite: SPAN458. Recommended:
SPAN234 and SPAN235. Senior standing.
Also offered as LASC403.
A foundational course in Latin American
Studies information sources. Students will
devise a search strategy and explore reference
materials available to the Latin American
Studies researcher.
SPAN 404 The Short Story in the
Middle Ages (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Presents an overview of one of the most
relevant genres of the Middle Ages: the short
story, which entailed a process of writing and
rewriting of common sources.
SPAN 405 The Sentimental
Romance (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Explores Spain's Sentimental Romances in the
Late Middle Ages with an interdisciplinary
critical approach.
SPAN 406 Don Juan Manuel's
Fictional and Historical Prose (3
credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Dedicated to the literary production of an
important author: Don Juan Manuel. By
examining the interaction among writing,
reading and the oral acquisition of knowledge
in his works, special attention will be given to
how the border between fact and fiction is
constructed in the Middle Ages.
SPAN 407 Jews, Moslems, and
Christians in Medieval Spain (3
credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Presents an overview of the cultural, political
and religious coexistence of Jews, Moslems
and Christians in Medieval Spain as it changed
from tolerance to persecution and survival.
SPAN 408 Great Themes of the
Hispanic Literatures (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Major themes in the literature of Spain or
Spanish- America. Each theme will be
announced when the course is offered.
SPAN 410 Literature of the
Middle Ages I (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Spanish literary history from the eleventh
through the fifteenth century. Reading of
representative texts. This course covers until
the year 1350.
SPAN 41 1 Literature of the
Middle Ages II (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Spanish literary history from the eleventh
through the fifteenth century. Reading of
representative texts. This course covers from
1350 to 1500.
SPAN 412 Women in the Middle
Ages: Myths and Daily Life (3
credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Explores the role of women during the Middle
Ages and analyzes the active participation of
women in a society in which men's occupation
was warfare. Also explores "feminine voices"
and female representations in the literature of
the times.
SPAN 413 Libro de Buen Amor
(3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Literary traditions in the Libro de buen amor.
SPAN414LaCelestina(3
credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Literary and cultural traditions in La Celestina.
SPAN 415 Commercial Spanish
II (3 credits)
Prerequisite: SPAN 315 or permission of
department. Sophomore standing.
Business Spanish terminology, vocabulary and
practices. Emphasis on everyday spoken and
written Spanish. Readings and discussions of
international topics. Cross-cultural
considerations relative to international
business operations, including exporting and
banking.
SPAN 416 Practicum in
Translation V (3 credits)
Prerequisite: SPAN357 or permission of
department.
Translation of complete literary texts from
Spanish into English. Presentation and
comparison of special problems encountered
in individual projects.
SPAN 417 Practicum in
Translation VI (3 credits)
Prerequisite: SPAN416 or permission of
department.
Translation of complete literary texts from
Spanish into English. Evaluation of different
versions of the original. Problems of
interpretation, literary structure and analysis.
SPAN 418 Hispanic Literature in
Translation (3 credits)
Repeatable to 6 credits if content differs.
SPAN 420 Poetry of the 16th
Century (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Selected readings and literary analysis.
SPAN 421 Prose of the 16th
Century (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Selected readings and literary analysis.
SPAN 422 Cross-Cultural
Communication (3 credits)
Prerequisite: SPAN315. Junior standing.
For SPAN majors only.
Focuses on the relationship of language and
culture of those operating in world markets.
Particular attention will be given to cross-
cultural communication, linguistic systems,
and culture specific perceptions of the
Hispanic world.
517
SPAN 424 Drama of the
Sixteenth Century (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
From the earliest autos and pasos, the
development of Spanish drama anterior to
Lope de Vega, including Cervantes.
SPAN 425 Introduction to
Hispanic Linguistics I: Basic
Concepts (3 credits)
Prerequisite: SPAN301 and SPAN303; or
permission of department.
This course begins with an introduction to
general concepts in linguistics, from language
function and the brain to communication,
culture, and thought, and their relation to other
disciplines in the social sciences. The main
purpose of this course is to provide an
overview of Hispanic linguistics through
multiple perspectives, while exploring the
areas of Spanish morphology, syntax, and
semantics. This course will also focus on the
structural tendencies of Spanish through a
variety of practical activities.
SPAN 426 Introduction to
Hispanic Linguistics II:
Language in Use (3 credits)
Prerequisite: SPAN425. Also offered as
SPAN626.
Designed for students without previous
experience in Linguistics. Focus on language
variation and use, linguistic change, and
bilingualism.
SPAN 430 Cervantes: Don
Quijote (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
SPAN 431 Cervantes: Novelas
Ejemplares and Entremeses (3
credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
SPAN 432 Colonial Latin
American Literature (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Examines the key themes, writers, literary
movements, and cultural debates of the
colonial period.
SPAN 433 Women and Culture
in Colonial Latin America (3
credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Considers questions of women and historical
production, women writers in colonial times,
and contemporary literary interpretations of
colonial realities. Debates the continued
legacy of female archetypes from the colonial
period to the present, and epistemological
questions regarding the production of
knowledge.
SPAN 434 Poetry of the 17th
Century (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Selected readings, literary analysis, and
discussion of the outstanding poetry of the
period, in the light of the historical
background.
SPAN 435 Prose of the 17th
Century (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Selected readings, literary analysis, and
discussion of the outstanding prose of the
period, in the light of the historical
background.
SPAN 436 Spanish Baroque
Drama (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Reading and critique of the major dramas of
the Spanish Golden Age: Lope De Vega,
Cervantes, Tirso De Molina and Colderon.
Taught in Spanish.
SPAN 437 Drama of the
Seventeenth Century (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Drama after Lope de Vega to Calderon de la
Barca and the decline of the Spanish theater.
SPAN 438 Special Topics in
Colonial Latin America (3
credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Repeatable to 9 credits if content differs.
The conquest and colonization of the New
World produced a textual coipus of invaluable
importance for the foundation of Spanish
American literary tradition. Special topics
(themes, authors, debates, etc.) relevant to the
Colonial period will be addressed.
SPAN 440 Literature of the
Eighteenth Century (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Traditionalism, Neo-Classicism, and Pre-
Romanticism in prose, poetry, and the theater;
esthetics and poetics of the enlightenment.
SPAN 446 Encounters of
Atlantic Cultures (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Emphasis will be placed on the Hispanic
literature and artistic production resulting from
the cultural exchange of the two sides of the
Atlantic. Also, examines canonical as well as
less known texts from the 16th century to the
present with a cross-cultural, transnational and
multiethnic lens.
SPAN 448 Special Topics in
Latin American Civilization (3
credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Repeatable to 6 credits if content differs.
Intensive independent study of a selected topic
related to Latin American civilization.
SPAN 449 Special Topics in
Spanish Civilization (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333.
SPAN361, SPAN362, or SPAN363.
Repeatable to 6 credits if content differs.
An intensive study of a selected topic related
to Spanish civilization.
SPAN 450 The Hispanic
Caribbean (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363. Credit
will be granted for only one of the
following: SPAN408C or SPAN450.
Formerly SPAN408C.
Explores the Hispanic Caribbean as "island
spaces" of multiple migrations and cultural
identities, as sites of colonial experiences and
post-colonial debates.
SPAN 451 Contemporary Cuban
Culture, Literature, and Film (3
credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Explores all the phases of the 1959 Cuban
Revolution as depicted in the art it produced
within the island and in the greater Cuban
diaspora.
SPAN 452 The Romantic
Movement in Spain (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Poetry, prose and drama of the Romantic and
Post-Romantic periods.
SPAN 454 Nineteenth Century
Fiction (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Significant novels of the nineteenth century.
SPAN 456 Nineteenth Century
Drama and Poetry (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Significant dramas and poetry of the Realist
Period.
SPAN 459 Latin American
Women Writers (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Repeatable to 9 credits if content differs.
Emphasis will be placed on contemporary
Latin American women writers.
SPAN 460 The Generation of
1898 and Its Successors (3
credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Authors and works of all genres of the
generation of 1 898 and those of the
immediately succeeding generation.
SPAN 461 The Generation of
1898 and Its Successors (3
credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Authors and works of all genres of the
518
generation of 1 898 and those of the
immediately succeeding generation.
SPAN 462 Twentieth Century
Drama (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Significant plays of the twentieth century.
SPAN 463 Latin American
Drama (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Emphasis will be placed on Latin American
plays of the twentieth century.
SPAN 464 Contemporary
Spanish Poetry (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332. SPAN333,
SPAN361, SPAN362, or SPAN363.
Spanish poetry from the generation of 1927 to
the present.
SPAN 466 The Contemporary
Spanish Novel (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
The novel and the short story from 1 940 to the
present.
SPAN 467 Latin American Short
Story (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
A pivotal genre in modern Latin American
literature: The Short Story will be examined.
SPAN 468 Modernism and Post-
Modernism in Spain and
Spanish-America (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Repeatable to 9 credits if content differs.
A study of the most important works and
authors of both movements in Spain and
Spanish- America.
SPAN 470 United States Latino
Literature (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Introduction to U.S. Latino literature through
exploration of narrative, poetry, and drama by
Chicano, Nuyorican, and Cuban American
writers. Discussion of socio-historical issues
involved in construction of Latino cultural
identity in literature.
SPAN 471 United States Latina
Fiction (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
An introduction to United States latina fiction
through the study of short stories, novels,
poetry, etc. It explores strategies of
representation by women of color.
SPAN 472 Latin American
Perspectives on the United
States (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363. 45
semester hours.
Latin Americans have grappled with the
looming and often conflicting presence of the
United States in the Western Hemisphere and
as a world power. Latin American discursive
responses to the United States will be
examined.
SPAN 473 U.S. Latino
Performance (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
An introduction to United States Latino
Performance texts by Chicano, Nuyorican,
Cuban- American, Dominican, Central-
American and others.
SPAN 474 Central American
Literatures, Cultures, and
Histories (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
An overview of Central American history and
cultural production, focusing primarily but not
exclusively on literary texts.
SPAN 478 Special Topics in
United States Latino Cultures (3
credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Repeatable to 9 credits if content differs.
Explores special topics in US Latino Cultures,
ranging from Chicano, Nuyorican, Cuban-
American, Dominican, Central -American and
other border cultural identities.
SPAN 479 Honors Thesis (3-6
credits)
Prerequisite: admittance to honors program
in Spanish and Portuguese Department.
Repeatable to 6 credits if content differs.
Researching and writing an honors thesis
under the direction of a professor.
SPAN 480 Spanish-American
Essay (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
A study of the socio-political contents and
aesthetic qualities of representative works
from the colonial to the contemporary period.
SPAN 481 Spanish American
Essay (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
A study of the socio-political contents and
aesthetic qualities of representative works
from the colonial to the contemporary period,
with emphasis on the essay of the twentieth
century.
SPAN 488 Spanish-American
Fiction (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Representative novels and/or short stories
from the Wars of Independence to the present
or close analysis of major contemporary
works. Subject will be announced each time
course is offered.
SPAN 489 Spanish-American
Fiction (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Representative novels and/or short stories
from the Wars of Independence to the present
or close analysis of major contemporary
works. Subject will be announced each time
course is offered.
SPAN 491 Honors Reading
Course: Poetry (3 credits)
Supervised reading to be taken by students
admitted to the honors program or upon
consultation with the instructor.
SPAN 492 Honors Reading
Course (3 credits)
Supervised reading to be taken by students
admitted to the honors program or upon
consultation with the instructor.
SPAN 493 Honors Reading
Course: Drama (3 credits)
Supervised reading to be taken by students
admitted to the honors program or upon
consultation with the instructor.
SPAN 495 Honors Reading (3
credits)
Prerequisite: admittance to Spanish and
Portuguese Honors or permission of
dep ailment.
Supervised reading.
SPAN 498 Spanish-American
Poetry (3 credits)
Prerequisite: One of the following courses:
SPAN331, SPAN332, SPAN333,
SPAN361, SPAN362, or SPAN363.
Main trends, authors and works from the
conquest to Ruben Dario.
SPAN 605 Teaching Spanish I (1
credits)
Prerequisite: permission of department. For
Spanish teaching assistants only.
Methods and materials for teaching Spanish in
higher education.
SPAN 606 Teaching Spanish II
(1 credits)
Prerequisite: permission of department. For
Spanish teaching assistants only.
Methods and materials for teaching Spanish in
higher education. Continuation of SPAN 605.
SPAN 608 Medieval Spanish
Literature (3 credits)
Specific authors, genres, and literary periods
studied in depth.
SPAN 609 Medieval Spanish
Literature (3 credits)
Specific authors, genres, and literary periods
studied in depth.
SPAN 610 The History of the
Spanish Language (3 credits)
SPAN 611 Applied Linguistics (3
credits)
Nature of applied linguistics and its
contribution to the effective teaching of
foreign languages. Comparative study of
English and Spanish, with emphasis on points
of divergence.
SPAN 612 Comparative
Romance Linguistics (3 credits)
SPAN 618 Poetry of the Golden
Age (3 credits)
Analyses and studies in depth of specific
works of specific poets in the sixteenth and
seventeenth centuries.
519
SPAN 619 Poetry of the Golden
Age (3 credits)
Analyses and studies in depth of specific
works of specific poets in the sixteenth and
seventeenth centuries.
SPAN 625 Introduction to
Hispanic Linguistics l:Basic
Concepts (3 credits)
Two hours of lecture and one hour of
discussion/recitation per week.
Introduction to basic terms and definition in
Hispanic Linguistics. Fundamental aspects of
phonology, morphology, syntax, semantics,
sociolinguistics, and pragmatics.
SPAN 626 Hispanic Linguistics
II: Language in Use (3 credits)
Prerequisite: SPAN 301 or permission of
department. Also offered as SPAN 426.
This course will focus on issues related to
language variation and use with a more in-
depth analysis of the semantics, pragmatics,
and sociolinguistics of Spanish. Students will
be introduced to current research in the fields
of dialectology, bilingualism and language
policy, and the social aspects of language
change. This course will include an analysis of
current research as it relates to the field of
linguistics and other social sciences.
SPAN 628 Seminar: the Golden
Age in Spanish Literature (3
credits)
SPAN 629 Seminar: the Golden
Age in Spanish Literature (3
credits)
Specific authors, genres, literary movements
and literary periods of the sixteenth and
seventeenth centuries studied in depth.
SPAN 698 Masterpieces of
Hispanic Literatures (3 credits)
Three hours of lecture per week.
Repeatable to 6 credits if content differs.
Study of masterpieces of the hispanic
literatures, topics, areas of literature and works
to vary.
SPAN 699 Independent Study in
Spanish (1-3 credits)
Repeatable to 3 credits.
This course is designed to provide graduate
students an opportunity to pursue independent
study under the supervision of a member of
the department.
SPAN 708 The Eighteenth
Century (3 credits)
Specific authors, genres, and literary
movements studied in depth.
SPAN 718 The Nineteenth
Century (3 credits)
Specific authors, genres, and literary
movements studied in depth.
SPAN 719 The Nineteenth
Century (3 credits)
Specific authors, genres, and literary
movements studied in depth.
SPAN 728 The Twentieth
Century (3 credits)
Specific authors, genres and literary
movements studied in depth.
SPAN 729 The Twentieth
Century (3 credits)
Specific authors, genres and literary
movements studied in depth.
SPAN 738 The Drama of the
Twentieth Century (3 credits)
Specific authors and movements studied in
depth.
SPAN 750 Workshop in Essay
Writing (3 credits)
Different genres of writing in Spanish
including essays, articles, reviews,
biographies, etc. Students will analyze models
of a genre, produce their own version, edit and
revise.
SPAN 788 Seminar Series in
Spanish and Latin American
Languages, Literatures, and
Cultures (1-2 credits)
Prerequisite: permission of department.
Repeatable to 8 credits if content differs.
Topics to be announced when course is
offered.
SPAN 798 Open Seminar (3
credits)
SPAN 799 Master's Thesis
Research (1-6 credits)
SPAN 808 Colonial Spanish-
American Literature (3 credits)
Didactic and narrative prose and epic,
dramatic and lyric poetry; principal works and
authors.
SPAN 809 Colonial Spanish
American Literature (3 credits)
Didactic and narrative prose; dramatic and
lyric poetry.
SPAN 818 National Spanish-
American Literature (3 credits)
Characteristics of the national literatures.
Romantic and Costumbrista literature.
Gauchismo and Indigenismo. Principal works
and authors.
SPAN 819 National Spanish
American Literature (3 credits)
Characteristics of the national literatures.
Romantic and Costumbrista literature.
Gauchismo and Indigenismo. Principal works
and authors.
SPAN 828 Hispanic Poetry of
the Nineteenth and Twentieth
Centuries (3 credits)
Specific authors, genres and literary
movements studied in depth.
SPAN 829 Hispanic Poetry of
the Nineteenth and Twentieth
Centuries (3 credits)
Specific authors, genres and literary
movements studied in depth.
SPAN 898 Pre-Candidacy
Research (1-8 credits)
SPAN 899 Doctoral Dissertation
Research (1-8 credits)
Public Health (SPHL)
SPHL 400 Introduction to Global
Health (3 credits)
Credit will be granted for only one of the
following: SPHL400 or SPHL498A.
Formerly SPHL498A.
Exploration of theoretical frameworks and
practical perspectives on issues shaping the
global health panorama. Determinants
examined through: biological and
epidemiological; social, cultural and
economic; environmental and geographic;
multi-section, legal and institutional
perspectives with synopsis of how these issues
are addressed by international and community
organizations in developing countries.
SPHL 401 History of Public
Health (3 credits)
Credit will be granted for only one of the
following: SPHL401 or SPHL498E.
Formerly SPHL498E.
History of public health in the Western world
from antiquity to the present. The influence of
public health developments in other parts of
the globe. The interaction between Western
and non-Western cultures with respect to
health issues.
SPHL 488 Children's Health and
Development Clinic (1-4 credits)
Prerequisite: permission of department.
An opportunity to acquire training and
experience in a therapeutically oriented
physical education-recreation program for
children referred by various education, special
education, medical or psychiatric groups.
SPHL 498 Special Topics in
Public Health (3 credits)
Junior standing. Repeatable to 9 credits if
content differs. Credit will be granted for
only one of the following: SPHL498 or
SPHL698 of same suffix.
Topical and interdisciplinary courses of
interest to upper level undergraduate students
in the field of Public Health not currently
covered by the program.
SPHL 615 Crises of Aging: Time,
Retirement and Widowhood (3
credits)
Credit will be granted for only one of the
following: HLHP615 orSPHL615.
Formerly HLHP6 15.
A cross-disciplinary and multidisciplinary
investigation of phenomena which comprise a
significant portion of the issues confronting an
older adult's life: (1) introduction to multiple
processes of adulthood and aging; (2) the
concepts and meaning of time; (3) pre-
retirement and retirement adjustments; and (4)
loss and widowhood.
SPHL 625 Issues of Retirement:
Theory and Practice (3 credits)
Credit will be granted for only one of the
following: HLHP625 orSPHL625.
Formerly HLHP625.
Multidisciplinary examination of retirement
phenomena, including theories of transition,
government and private sector policies, social
expectations, physical correlates, personal
adjustments, and economic consequences.
Emphasis upon research utilization.
SPHL 689 Selected Problems in
Health, Physical Education and
Recreation (1-6 credits)
Formerly HLHP689.
Research projects in special areas in health,
physical education and/or recreation which
have interdisciplinary implications not covered
in structured courses.
SPHL 698 Special Topics in
Public Health (3 credits)
Prerequisite: Permission of College.
Topical and interdisciplinary courses of
interest to master and doctoral students in the
field of Public Health not currently covered by
the program.
520
SPHL 780 Interdisciplinary
Issues in Aging (3 credits)
Credit will be granted for only one of the
following: HLHP780 or SPHL780.
Formerly HLHP780.
Multidisciplinary approaches to the processes
of aging to achieve a more holistic
understanding. Pedagogical research
dissemination, peer instruction, guest
lecturing, and informal discussion. The
demonstration of the multilateral nature of
growing older. Discussion of cross-
disciplinary and interdisciplinary research
proposals.
Statistics and
Probability (STAT)
STAT 400 Applied Probability
and Statistics I (3 credits)
Prerequisite: MATH 131 with a grade of C
or better, or MATH 141 or equivalent. Not
acceptable toward graduate degrees in
STAT, AMSC, or MATH. Credit will be
granted for only one of the following:
BMGT231, ENEE324 or STAT400. These
courses are not interchangeable. Consult
your program requirements or advisor for
what is acceptable toward your program of
study.
Random variables, standard distributions,
moments, law of large numbers and central
limit theorem. Sampling methods, estimation
of parameters, testing of hypotheses.
STAT 401 Applied Probability
and Statistics II (3 credits)
Prerequisite: STAT400 (Not acceptable
toward graduate degrees in STAT, AMSC,
or MATH).
Point estimation - unbiased and consistent
estimators. Interval estimation. Minimum
variance and maximum likelihood estimators.
Testing of hypotheses. Regression, correlation
and analysis of variance. Sampling
distributions. Elements of no n -parametric
methods.
STAT 410 Introduction to
Probability Theory (3 credits)
Prerequisite: MATH240 and MATH241.
Also offered as SURV410. Credit will be
granted for only one of the following:
STAT410orSURV410.
Probability and its properties. Random
variables and distribution functions in one and
several dimensions. Moments. Characteristic
functions. Limit theorems.
STAT 420 Introduction to
Statistics (3 credits)
Prerequisite: STAT410 or SURV410. Also
offered as SURV420. Credit will be
granted for only one of the following:
STAT420 or SURV420.
Point estimation, sufficiency, completeness,
Cramer-Rao inequality, maximum likelihood.
Confidence intervals for parameters of normal
distribution. Hypothesis testing, most powerful
tests, likelihood ratio tests. Chi-square tests,
analysis of variance, regression, correlation.
Nonparametric methods.
STAT 430 Introduction to
Statistical Computing with SAS
(3 credits)
Prerequisite: STAT400 or permission of
instructor.
Descriptive and inferential statistics. SAS
software: numerical and graphical data
summaries; merging, soiling and splitting data
sets. Least squares, regression, graphics and
informal diagnostics, interpreting results.
Categorical data, lifetime data, time series.
Applications to engineering, life science,
business and social science.
STAT 440 Sampling Theory (3
credits)
Prerequisite: STAT401 or STAT420. Also
offered as SURV440. Credit will be
granted for only one of the following:
STAT440orSURV440.
Simple random sampling. Sampling for
proportions. Estimation of sample size.
Sampling with varying probabilities.
Sampling: stratified, systematic, cluster,
double, sequential, incomplete.
STAT 464 Introduction to
Biostatistics (3 credits)
Prerequisite: One semester of calculus. Not
acceptable for credit towards degrees in
mathematics or statistics. Junior standing.
Probabilistic models. Sampling. Some
applications of probability in genetics.
Experimental designs. Estimation of effects of
treatments. Comparative experiments. Fisher-
Irwin test. Wilcoxon tests for paired
comparisons.
STAT 470 Actuarial Mathematics
(3 credits)
Prerequisite: Calculus through MATH240
andMATH241. Recommended:
STAT400.
Major mathematical ideas involved in
calculation of life insurance premiums,
including compound interest and present
valuation of future income streams; probability
distribution and expected values derived from
life tables; the interpolation of probability
distributions from values estimated at one-year
multiples; the 'Law of Large Numbers'
describing the regular probabilistic behavior of
large populations of independent individuals;
and the detailed calculation of expected
present values arising in insurance problems.
STAT 498 Selected Topics in
Statistics (1-6 credits)
Prerequisite: permission of department.
Repeatable to 16 credits.
Topics of special interest to advanced
undergraduate students will be offered
occasionally under the general guidance of the
MATH/STAT major committee. Students
register for reading in statistics under this
number.
STAT 600 Probability Theory I (3
credits)
Prerequisite: STAT410.
Probability space; distribution functions and
densities; Poissson limit theoreom; de Moivre-
Laplace theorem; measure -theoretic definition
of expectation; classification of measures on
R; convergence of random variables; Radon-
Nikodym theorem;LP spaces; conditional
probabilities; independence of events, sigma-
algebras and random variables; Bayes' theo
rem; pi-systems and Dynkin systems; discrete
Markov chains; random walks; gambler's ruin
problem; Markov chains on a general phase
space; Bore 1-c ante Hi lemmas; Kolmogorov
inequality; three series theorem; laws of large
numbers.
STAT 601 Probability Theory II
(3 credits)
Prerequisite: STAT 600.
Weak convergence of measures; characteristic
functions; Central Limit Theorem and local
limit theorem; stable laws; Kolmogorov
consistency theorem (without proof);
conditional expectations and martingales;
optimal stopping theorem; convergence of
martingales; Brownian motion; Markov
processes and families; stochastic integral and
Ito formula.
STAT 650 Applied Stochastic
Processes (3 credits)
Prerequisite: STAT 410 or MATH 410
with one semester of probability.
Basic concepts of stochastic processes.
Renewal processes and random walks,
fluctuation theory. Stationary processes,
spectral analysis. Markov chains and processes
(discrete and continuous parameters.) Birth
and death processes, diffusion processes.
Applications from theories of queuing,
storage, inventory, epidemics, noise,
prediction and others.
STAT 658 Advanced Applied
Stochastic Processes II (3
credits)
Prerequisites: STAT 650 plus a graduate
course in analysis, or permission of
instructor. Recommended: STAT 600,
STAT 601, STAT 610. Repeatable to 6
credits if content differs.
Advanced topics in applied stochastic
processes, rotating among the headings of
queueing theory, population proceses, and
regenerative phenomena. Course includes
disucssion of stochastic models and fields of
application, Markov process theory including
calculation and characterization of stationary
distributions and diffusion approximations,
renewal theory and Wiener-Hopf factorization
theory.
STAT 687 Minicourse Series in
the Mathematical Sciences (1
credits)
Also offered as AMSC687 and MATH687.
Credit will be granted for only one of the
following: AMSC687, MATH687 or
STAT687.
This series will consist of up to sixteen 3-
lecture presentations covering a broad range of
topics in the mathematical sciences. Each
minicourse is intended to be self-contained
and accessible to first year graduate students
and advanced undergraduates. The goal of
each minicourse is to present an active
research area or significant result and the
necessary vocabulary and perspective for
students to appreciate it. The goal of the
Minicourse Series is to broaden a student's
awareness of the mathematical sciences and to
inform them of research directions.
STAT 689 Research Interactions
in Statistics (1-3 credits)
Prerequisite: consent of instructor.
Repeatable to 06 credits if content differs.
The students participate in a vertically
integrated (undergraduate, graduate and/or
postdoctoral, faculty) research group. Format
varies, but includes regular meetings, readings
and presentations of material. See graduate
program's online syllabus or contact the
graduate program director for more
information.
STAT 698 Selected Topics in
Probability (1-4 credits)
STAT 700 Mathematical
Statistics I (3 credits)
521
Prerequisite: STAT 410 or equivalent.
Sampling distributions including noncentral
chi-squared, t, F. Exponential families,
completeness. Sufficiency, factorization,
likelihood ratio. Decision theory, Bayesian
methods, minimax principle. Point estimation.
Lehmann-Scheffe and Cramer-Rao theorems.
Set estimation.
STAT 701 Mathematical
Statistics II (3 credits)
Prerequisite: STAT 700 or equivalent.
Testing hypotheses: parametric methods.
Neyman-Pearson lemma. Uniformly most
powerful tests. Unbiased tests. Locally optimal
tests. Large sample theory, asymptotically best
procedures. Nonparametric methods,
Wilcoxon, Fisher- Yates, median tests. Linear
models, analysis of variance, regression and
correlation. Sequential analysis.
STAT 705 Computational
Statistics (3 credits)
Prerequisite: STAT 420 or STAT 700.
Recommended: Some programming
experience (any language). Credit will be
granted for only one of the following:
STAT 705 or STAT 798C. Formerly
STAT798C.
Modern methods of computational statistics
and their application to both practical
problems and research. S-Plus and SAS
programming with emphasis on S-Plus. S-Plus
objects and functions, and SAS procedures.
Topics include data management and graphics,
Monte Carlo and simulation, bootstrapping,
numerical optimization in statistics, linear and
generalized linear models, nonparametric
regression, time series analysis.
STAT 710 Advanced Statistics I
(3 credits)
Prerequisite: STAT 421. Recommended
corequisite: STAT 600.
Statistical decision theory. Neyman-Pearson
lemma and its extensions. Uniformly most
powerful test. Monotone likelihood ratio.
Exponential families of distributions, concepts
of similiarity, and tests with Neyman structure.
Unbiased tests and applications to normal
families.
STAT 730 Time Series Analysis
(3 credits)
Prerequisites: STAT 700 plus a graduate
course in analysis, or permission of
instructor. Recommended: STAT 701,
STAT 650.
The methodology of probabilistic description
and statistical analysis of (primarily stationary)
random sequences and processes. Correlation
functions, Gaussian processes, Hilbert-space
methods including Wold decomposition and
spectral representation, periodogram and
estimation of spectral densities, parameter
estimation and model identification for
ARMA processes, linear filtering, Kalman-
Bucy filtering, sampling theorems for
continuous-time series, multivariate time
series.
STAT 740 Linear Statistical
Models I (3 credits)
Prerequisite: STAT 420 or STAT 700.
Least squares, general linear models,
estimability and Gauss-Markov theorem.
Simple and multiple linear regression, analysis
of residuals and diagnostics, polynomial
models, variable selection. Qualitative
predictors, one and two way analysis of
variance, multiple comparisons, analysis of
covariance. Nonlinear least squares. High-
level statistical computer software will be used
for data analysis throughout the course.
STAT 741 Linear Statistical
Models II (3 credits)
Prerequisite: STAT 740.
Continuation of STAT 740. Multiway layouts,
incomplete designs, Latin squares, complete
and fractional factorial designs, crossed and
nested models. Balanced random effects
models, mixed models, repeated
measures. General mixed model, computational
algorithms, ML and REML estimates.
Generalized linear models, logistic and
loglinear regression.
STAT 750 Multivariate Analysis
(3 credits)
Prerequisite: STAT 420 or STAT 700.
Multivariate normal, Wishart's and Hotelling's
distributions. Tests of hypotheses, estimation.
Generalized distance, discriminant analysis.
Regression and correlation. Multivariate
analysis of variance; distribution of test
criteria. Principal components, canonical
correlations and factor analysis.
STAT 770 Analysis of
Categorical Data (3 credits)
Prerequisite: STAT 420 and STAT 430 or
permission of department.
Loglinear and logistic models. Single
classification, two-way classification;
contingency tables; tests of homogeneity and
independence models, measures of
association, distribution theory. Bayesian
methods. Incomplete contingency tables.
Square contingency tables - symmetry.
Extensions to higher dimensional contingency
tables.
STAT 798 Selected Topics in
Statistics (1-4 credits)
STAT 799 Master's Thesis
Research (1-6 credits)
STAT 898 Pre-Candidacy
Research (1-8 credits)
STAT 899 Doctoral Dissertation
Research (1-8 credits)
Survey Methodology
(SURV)
SURV 400 Fundamentals of
Survey Methodology (3 credits)
Prerequisite: STAT100 or permission of
department. Credit will be granted for only
one of the following: SURV699M or
SURV400. Formerly SURV699M.
Introduces the student to a set of principles of
survey design that are the basis of standard
practices in the field. The course exposes the
student to both observational and experimental
methods to test key hypotheses about the
nature of human behavior that affect the
quality of survey data. It will also present
important statistical concepts and techniques
in simple design, execution, and estimation, as
well as models of behavior describing errors in
responding to survey questions. Not
acceptable to graduate degrees in Survey
Methodology (SURV).
SURV 410 Introduction to
Probability Theory (3 credits)
Prerequisite: MATH240; and MATH241 or
permission of department. Also offered as
STAT410. Credit will be granted for only
one of the following: SURV410 or
STAT410.
Probability and its properties. Random
variables and distribution functions in one and
several dimensions. Moments, characteristic
functions, and limit theorems.
SURV 420 Introduction to
Statistics (3 credits)
Prerequisite: SURV410 or STAT410. Also
offered as STAT420. Credit will be granted
for only one of the following: STAT420 or
SURV420.
Mathematical statistics, presenting point
estimation, sufficiency, completeness, Cramer-
Rao inequality, maximum likelihood,
confidence intervals for parameters of normal
distributions, chi-square tests, analysis of
variance, regression, correlation, and
nonparametric methods.
SURV 430 Fundamentals of
Questionnaire Design (3
credits)
Prerequisite: permission of department.
Priority in enrollment will be given to
students completing the Minor in Survey
Methodology. Credit will be granted for
only one of the following: SURV430 or
SURV630.
Introduction to the scientific literature on the
design, testing and evaluation of survey
questionnaires, together with hands-on
application of the methods discussed in class.
SURV 440 Sampling Theory (3
credits)
Prerequisite: STAT401 or STAT420. Not
open to students who have completed
STAT440.
Simple random sampling, sampling for
proportions, estimation of sample size,
sampling with varying probabilities of
selection, stratification, systematic selection,
cluster sampling, double sampling, and
sequential sampling.
SURV 615 Statistical Methods I
(3 credits)
Prerequisite: two course sequence in
probability and statistics or equivalent.
First course in a two term sequence in applied
statistical methods covering topics such as
regression, analysis of variance, categorical
data, and survival analysis.
SURV 616 Statistical Methods II
(3 credits)
Prerequisite: SURV 615.
Builds on the introduction to linear models
and data analysis provided in Statistical
Methods I. Topics include analysis of
longitudinal data and time series, categorical
data analysis and contingency tables, logistic
regression, log-linear models for counts,
statistical methods in epidemiology, and
introductory life testing.
SURV 620 Survey Practicum I (3
credits)
Prerequisite: degree seeking student in
JPSM or permission of instructor.
First part of an applied workshop in sample
survey design, implementation, and analysis.
Problems of moving from substantive
concepts to questions on a survey
questionnaire, designing a sample, pretesting
the questionnaire, administering the
questionnaire to a sample, processing and
editing the data, and analyzing the results.
522
SURV 623 Data Collection
Methods in Survey Research (3
credits)
Review of alternative data collection methods
used in surveys, concentrating on the impact
these techniques have on the quality of survey
data, including measurement error properties,
levels of nonresponse and coverage error.
Reviews of the literature on major mode
comparisons (face-to-face interviewing,
telephone survey and self- ad ministered
questionnaires), and alternative collection
methods (diaries, administrative records, direct
observation, etc.). The statistical and social
science literatures on interviewer effects and
nonresponse, and current advances in
computer-assisted telephone interviewing
(CATI), computer-assisted personal
interviewing (CAPI), and other methods such
as touchtone data entry (TDE) and voice
recognition (VRE).
SURV 625 Applied Sampling (3
credits)
Prerequisite: statistics course approved by
the department.
Practical aspects of sample design. Topics
include: probability sampling (including
simple random, systematic, stratified,
clustered, multistage and two-phase sampling
methods), sampling with probabilities
proportional to size, area sampling, telephone
sampling, ratio estimation, sampling error
estimation, frame problems, nonresponse, and
cost factors.
SURV 630 Questionnaire Design
(3 credits)
Credit will be granted for only one of the
following: SURV430 and SURV630.
The stages of questionnaire design;
developmental interviewing, question writing,
question evaluation, pretesting, and
questionnaire ordering and formatting.
Reviews of the literature on questionnaire
construction, the experimental literature on
question effects, and the psychological
literature on information processing.
Examination of the diverse challenges posed
by self versus proxy reporting and special
attention is paid to the relationship between
mode of administration and questionnaire
design.
SURV 632 Social and Cognitive
Foundations of Survey
Measurement (3 credits)
Major sources of survey error-such as
reporting errors and nonresponse bias-from the
perspective of social and cognitive psychology
and related disciplines. Topics: psychology of
memory and its bearing on classical survey
issues (e.g., underreporting and telescoping);
models of language use and their implications
for the interpretation and misinterpretation of
survey questions; and studies of attitudes,
attitude change, and their possible application
to increasing response rates and improving the
measurement of opinions. Theories and
findings from the social and behavioral
sciences will be explored.
SURV 640 Survey Practicum I (2
credits)
Prerequisite: SURV640 and SURV641
must be taken in consecutive semesters.
Restrictedto degree seeking students in
JPSM or permission of the instructor. For
SURV majors only. Credit will be granted
for only one of the following: SURV 620 or
SURV640. Formerly SURV620.
First part of an applied workshop in sample
survey design, implementation, and analysis.
Problems of moving from substantive
concepts to questions on a survey
questionnaire, designing a sample, pretesting
and adminstering the survey.
SURV 641 Survey Practicum II (2
credits)
Prerequisite: SURV620. SURV620 and
SURV641 must be taken in consecutive
semesters. For SURV majors only. Credit
will be granted for only one of the
following: SURV621 or SURV641.
Formerly SURV621.
Second part of applied workshop in sample
survey design. Course focus on post data
collection process of data processing, editing
and anlysis.
SURV 650 Economic
Measurement (3 credits)
Prerequisite: One coure in intermediate
microeconomics. Credit will be granted for
only one of the following: SURV650 or
SURV699L. Formerly SURV699L.
An introduction to the field of economic
measurement. Sound economic data are of
critical importance to policymakers, the
business community, and others. Emphasis is
placed on the economic concepts that underlie
key economic statistics and the translation of
those concepts into operational measures.
Topics addressed include business survey
sampling; the creation of business survey
sampling frames; the collection of data from
businesses; employment and earnings
statistics; price statistics; output and
productivity measures; the national accounts;
and the statistical uses of administrative data.
Lectures and course readings assume prior
exposure to the tools of economic analysis.
SURV 672 Introduction to the
Federal Statistical System and
the Survey Research Profession
(1 credits)
Restricted to JPSM degree seeking student.
Formerly:SURV670 and SURV671. Credit
will be granted for only one of the
following: SURV670 and SURV671; or
SURV672.
The U.S. statistical system and its goals are
reviewed. The federal statistical agencies are
described, and their primary missions and data
collections are examined. The statistical
systems of other countries are compared with
the U.S. system. Organizational and budgetary
aspects are presented. Students will learn
about organizations and groups outside of the
Federal Statistical System that affect the
actions of the System. These include other
governmental units, professional associations,
and advisory groups created by the agencies
themselves. Students will review current laws
regarding privacy and confidentiality affecting
government agency work and consider a
variety of ethical issues confronting
government statisticians.
SURV 699 Special Topics in
Survey Methodology (1-4
credits)
Prerequisite: one graduate -level course in
statistics or quantitive methods and
familiarity with survey research methods.
Credit according to time scheduled and
organization of the course. Organized as a
lecture series on specialized advanced topics
in survey methodology.
SURV 701 Analysis of Complex
Sample Data (3 credits)
Prerequisite: SURV 625.
Analysis of data from complex sample designs
covers: the development and handling of
selection and other compensatory weights;
methods for handling missing data; the effect
of stratification and clustering on estimation
and inference; alternative variance estimation
procedures; methods for incorporating
weights, stratification and clustering, and
imputed values in estimation and inference
procedures for complex sample survey data;
and generalized design effects and variance
functions. Computer software that takes
account of complex sample design in
estimation.
SURV 720 Total Survey Error I (2
credits)
Prerequisite: SURV625 Restricted to
degree seeking in JPSM or permission of
instructor, Credit will be granted for only
one of the following: SURV720 and
SURV721; or SURV723. Formerly
SURV723.
Total error structure of sample survey data,
reviewing current research findings on the
magnitudes of different error sources, design
features that affect their magnitudes, and
interrelationships among the errors. Coverage,
nonresponse, sampling, measurement, and
postsurvey processing errors. For each error
source reviewed, social science theories about
its causes and statistical models estimating the
error source are described. Empirical studies
from the survey methodological literature are
reviewed to illustrate the relative magnitudes
of error in different designs. Emphasis on
aspects of the survey design necessary to
estimate different error sources. Relationships
to show how attempts to control one error
source may increase another source. Attempts
to model total survey error will be presented.
SURV 721 Total Survey Error II
(2 credits)
Prerequisite: SURV720. Degree seeking in
JPSM or permission of instructor. Credit
will be granted for only one of the
following: SURV720 and SURV721; or
SURV723. Formerly SURV723.
Second part of a review of total survey error
structure of sample survey data. Reviewing
current research findings on the magnitudes of
different error sources. Students will continue
work on an independent research project
which provides empirical investigation of one
or more error source. An analysis paper
presenting findings of the project will be
submitted at the end of the course.
SURV 722
Randomized/Nonrandomized
Design (3 credits)
Research designs from which causal
inferences are sought. Classical experimental
design will be contrasted with quasi-
experiments, evaluation studies, and other
observational study designs. Emphasis placed
on how design features impact the nature of
statistical estimation and inference from the
designs. Issues of blocking, balancing,
repeated measures, control strategies, etc.
SURV 723 Total Survey Error (3
credits)
Prerequisite: SURV 625.
523
Total error structure of sample survey data,
reviewing current research findings on the
magnitudes of different error sources, design
features that affect their magnitudes, and
interrelationships among the errors. Coverage,
nonresponse, sampling, measurement, and
postsurvey processing errors. For each error
source reviewed, social science theories about
its causes and statistical models estimating the
error source are described. Empirical studies
from the survey methodological literature are
reviewed to illustrate the relative magnitudes
of error in different designs. Emphasis on
aspects of the survey design necessary to
estimate different error sources. Relationships
to show how attempts to control one error
source may increase another source. Attempts
to model total survey error will be presented.
SURV 742 Inference from
Complex Surveys (3 credits)
Prerequisite: STAT 440.
Inference from complex sample survey data
covering the theoretical and empirical
properties of various variance estimation
strategies (e.g., Taylor series approximation,
replicated methods, and bootstrap methods for
complex sample designs). Incorporation of
those methods into inference for complex
sample survey data. Variance estimation
procedures applied to descriptive estimators
and to analysis of categorical data.
Generalized variances and design effects
presented. Methods of model-based inference
for complex sample surveys examined, and
results contrasted to the design-based type of
inference used as the standard in the course.
Real survey data illustrating the methods
discussed. Students will learn the use of
computer software that takes account of the
sample design in estimation.
SURV 744 Topics in Sampling (3
credits)
Prerequisite: SURV 440.
Advanced course in survey sampling theory.
SURV 760 Survey Management
(3 credits)
Modern practices in the administration of large
scale surveys. Alternative management
structures for large field organizations,
supervisory and training regimens, handling of
turnover, and multiple surveys with the same
staff. Practical issues in budgeting of surveys
are reviewed with examples from actual
surveys. Scheduling of sequential activities in
the design, data collection, and processing of
data is described.
SURV 772 Survey Design
Seminar (3 credits)
Formerly: SURV770 and SURV771. For
SURV majors only. Not open to students
who have completed SURV770 and
SURV771. Credit will be granted for only
one of the following: (SURV770 and
SURV771)orSURV772.
Students present solutions to design issues
presented to the seminar. Readings are
selected from literatures not treated in other
classes and practical consulting problems are
addressed.
SURV 798 Advanced Topics in
Survey Methodology (3 credits)
Repeatable to 12 credits if content differs.
Also offered as STAT 798. Credit will be
granted for only one of the following:
STAT 798 or SURV 798.
Individual instruction.
SURV 819 Doctoral Research
Seminar in Survey Methodology
(1-6 credits)
Prerequisite: permission of instructor.
This is the first, two term seminar introducing
the doctoral student to areas of integration of
social science and statistical science
approaches in the design, collection, and
analysis of surveys.
SURV 829 Doctoral Research
Seminar in Survey Methodology
(3-6 credits)
Prerequisite: permission of instructor.
Repeatable to 06 credits if content differs.
An advanced research seminar for students
preparing to do research or take doctoral
comprehensive examinations.
SURV 898 Pre-Candidacy
Research (1-8 credits)
SURV 899 Doctoral Dissertation
Research (1-8 credits)
Test Department
(TEST)
TEST 400 test ( credits)
Theatre (THET)
THET 408 Seminar: Theory and
Performance Studies (3 credits)
Three hours of discussion/recitation per
week. Prerequisite: THET488 or THET489
and permission of department. Senior
standing. Repeatable to 6 credits if content
differs. Also offered as THET608. Credit
will be granted for only one of the
following: THET408 orTHET608.
Studies in theatre theory and performance
studies from classical antiquity to the present.
THET 410 The American Theatre
(3 credits)
Prerequisite: THET488 or THET489; and
permission of instructor. Senior standing.
Also offered as THET610. Credit will be
granted for only one of the following:
THET410orTHET610.
The American theatre from 1750 to 1950,
including the position of theatre in culture, its
typical features, and major artists.
THET 411 Voice for the Actor II
(3 credits)
Four hours of laboratory per week.
Prerequisite: THET1 12, THET1 13,
THET1 14, THET1 15, THET1 16.
THET288, an audition, and permission of
department. Junior standing. Credit will be
granted for only one of the following:
THET3 1 1 , THET4 1 1 or THET499L.
Formerly THET3 11.
Learn the International Phonetic Alphabet
(IPA) and apply to exploration of sound and
language. Designed to increase voice and
speech awareness, and create a base
knowledge from which to approach any accent
or dialect.
THET 420 Language and the
Actor (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
THET310, and either THET324 or
THET325, an audition and permission of
department.
Explores the actor's relationship to language,
particularly heightened poetic language, in
order to: develop the ability to embody
language and vocally and physically project
the images; apply an intellectual understanding
of the inherent structural, poetic, and rhetorical
techniques of heightened language in
combination with action theory; and access the
inner states of character while expressing them
through text.
THET 424 Movement II:
Advanced Studies in Movement
for the Actor (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
THET3 10, and either THET324 or
THET325, an audition and permission of
department. Junior standing.
A deeper exploration of how to use the actor's
instrument for dramatic expression.
Continuing work in the F.M. Alexander
Technique and foundational exercise to help
actors learn what they need to prepare for
rehearsal and performance. Other techniques
may include theatrical styles, physical
character, dramatic use and play with space
and rhythm and masks.
THET 425 Actor's Process II (3
credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
THET3 10, and either THET324 or
THET325, an audition, and permission of
department.
A deeper exploration of the work begun in
THET325. A continuation of creating a
personal process through which the actor can
confidently approach any genre of play.
Special focus on status and subtext and the
world of the playwright.
THET 428 Special Topics in
Advanced Theatre and
Performance (1-3 credits)
Prerequisite: THET1 12, THET113,
THET114, THET115, THET116, and
permission of department. Junior standing.
Repeatable to 3 credits if content differs.
This course is offered as part of the
Department of Theatre's Artist in Residence
program. Topics covered may include:
Intercultural Theatre; Performance Art;
Puppetry; Solo Performance; or Theatrical
Design.
THET 429 Actor's Studio (1-3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits.
Participation in dramatic roles executed under
faculty supervision in the department's
productions. Eligible students must make
commitments and plan performances with
course instructor during pre -registration.
THET 430 Directing II: Working
with Actors (3 credits)
Prerequisite: THET330 or THET324 or
THET325 or permission of instructor.
Discussion of the preparation procedures and
rehearsal practices necessary for the
presentation of a variety of theatrical styles
and forms. Emphasis on understanding the
relationship between the director, the actor, the
script and the audience. A series of student
directed scenes supplemented by attendance at
theatre productions.
THET 451 Musical Theatre
Workshop I (3 credits)
Prerequisites: audition and permission of
524
department.
Development of the ability to move, act and
express through the media of lyric and music.
THET 452 Musical Theatre
Workshop II (3 credits)
Prerequisite: Audition and permission of
department.
Development of the ability to move, act and
express through the media of lyric and music
from the integrated musicals of the 1960s
through the development of concert and
rock/pop musicals.
THET 457 Advanced Lighting
Technology (3 credits)
Four hours of lecture per week. This course
may be taken simultaneously with
THET116. Prerequisite: THET377.
Sophomore standing.
Technological innovations such as moving
lights, color changers, and LED are studied
from the lighting designer's perspective.
Students will have the opportunity to use the
equipment in the lighting lab.
THET 464 Design Studio
Costume (3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
THET383 and permission of department.
Credit will be granted for only one of the
following: THET464, orTHET649.
Intermediate and advanced principles of
theatrical costume design rendering skills.
Emphasis on development of design concept,
unity, character statement, and research.
Particular design projects will vary.
THET 465 History of Fashion for
the Theatre (3 credits)
Four hours of lecture per week.
Prerequisite: THET1 16, or permission of
instructor, Sophomore standing.
A survey of Western clothing from the
Ancient Worlds through 20th Century. A
discussion of the cultural contexts of various
trends in fashion through an examination of
art, industry and textiles.
THET 469 Study Abroad Special
Topics IV (1-6 credits)
Repeatable to 15 credits if content differs.
Special topics course taken as part of an
approved study abroad program.
THET 470 Advanced Stage Craft
(3 credits)
Two hours of lecture and two hours of
laboratory per week. Prerequisite:
THET1 14 or permission of instructor.
Credit will be granted for only one of the
following: THET470 or THET499B.
Formerly THET499B.
An introduction in technical design and
management. Topics include rigging,
structural mechanics, and construction in
materials other than wood.
THET 471 Design Studio in
Scenery (3 credits)
Prerequisite: THET371 and permission of
department. Also offered as THET639.
Credit will be granted for only one of the
following: THET471 orTHET639.
Advanced study of scenic design for the
theatre. Particular design projects will vary.
THET 472 Scene Painting (3
credits)
Prerequisites: THET1 14 or permission of
department. Credit will be granted for only
one of the following: THET472 or
THET473. Formerly THET473.
Scene painting techniques and materials.
Three-dimensional realistic scenery and non-
realistic two-dimensional projects.
THET 473 Rendering for the
Theatre II (3 credits)
Four hours of laboratory per week.
Prerequisite: THET 373 or permission of
instructor.
Continued study in rendering techniques and
graphic skills for theatrical design
presentation. Emphasis on style, technique and
use of different artistic media.
THET 474 Stage Management (3
credits)
Prerequisite: Four of the five fundamental
courses (THET112, THET113, THET114,
THET1 15, THET1 16) and permission of
instructor. Sophomore standing.
Intensive practical study of the techniques and
procedures for stage management.
THET 475 History of Art,
Architecture, and Decor for the
Theatre (3 credits)
Prerequisite: THET1 12, THET1 13,
THET1 14, THET1 15, and THET1 16; and
permission of department. Also offered as
THET670. Credit will be granted for only
one of the following: THET475 or
THET670.
Study of Western art, architecture, and decor
and their practical application to theatrical
production.
THET 477 Design Studio in
Lighting (3 credits)
Four hours of laboratory per week.
Prerequisite: THET377 and permission of
department. Also offered as THET659.
Credit will be granted for only one of the
following: THET477 orTHET659.
Designed for students who have successfully
completed THET377 and wish to further
develop their lighting design skills. Emphasis
is on theoretical design of productions and
realized light lab projects. Particular design
projects will vary.
THET 479 Production Practicum
(1-3 credits)
Prerequisite: THET1 12, THET1 13,
THET1 14, THET1 15, and THET1 16; or
permission of department. Repeatable to 6
credits if content differs.
Designed to expand students' practical
knowledge and skills through working on
Department of Theatre productions.
THET 480 Audio Technology (3
credits)
Two hours of lecture and three hours of
laboratory per week. Prerequisite:
THET1 14; or permission of department.
First technical course in the installation and
operation of professional sound systems. This
course explores current standards of both
analog and digital audio theory as well as
recording and reinforcement techniques.
THET 481 Theatre Graphics II:
Computer Assisted Design (3
credits)
One hour of lecture and six hours of
laboratory per week. Prerequisites:
THET1 14, THET1 16, THET273, and
permission of department. Sophomore
standing.
Study and practical application of computer
generated graphical design for use in theatrical
production.
THET 482 Scene Painting II (3
credits)
One hour of lecture and four hours of
laboratory per week. Prerequisite:
THET472 or permission of instructor.
Sophomore standing.
Advanced study of theatrical scenic painting.
THET 486 History of Modern
Theory & Performance (3
credits)
Prerequisites: THET488 orTHET489 and
permission of instructor. Also offered as
THET686. Credit will be granted for only
one of the following: THET486 or
THET686.
Modern dramatic and performance theory
from realism to the absurd with special
emphasis on the European and American
avant-garde.
THET 487 Postmodern Theatre
and Performance (3 credits)
Prerequisite: THET488 or THET489; and
permission of department. Also offered as
THET687. Credit will be granted for only
one of the following: THET487, THET497
or THET687. Formerly THET497.
American and European experimental
performance since 1960 will be explored.
Topics include postmodern performance,
political performance, pornography and
performance, popular culture and
performance, and gender and performance.
Topics are treated historically and
theoretically. Student- produced performance
projects are an important component of the
seminar.
THET 488 Special Topics in
Theatre History Before 1800 (3
credits)
Prerequisite: Junior standing or permission
of instructor. Repeatable to 6 credits if
content differs. Credit will be granted for
only one of the following: THET488 or
THET490. Formerly THET490.
Topics in the history of world theatre and
performance from the Greeks through 1800.
THET 489 Special Topics in
Theatre History from 1800 to
Present (3 credits)
Prerequisite: Junior standing or permission
of instructor, Repeatable to 6 credits if
content differs. Credit will be granted for
only one of the following: THET489 or
THET491 . Formerly THET491 .
Topics in the history of world theatre and
performance from 1800 to present.
THET 490 History of Theatre I (3
credits)
Prerequisites: THET1 10 & THET1 1 1; or
THET1 13 & THET1 15; or permission of
department.
The history of Western theatre from its origins
in classical antiquity through the mid-
seventeenth century with emphasis on plays
and playwrights, architecture and decor, acting
and costuming, and significant personalities.
Extensive use of graphic materials, play
readings, and production projects.
525
THET 491 Theatre History II (3
credits)
Prerequisite: THET1 10, THET1 1 1, and
THET490; or permission of department.
The history of Western theatre from the late
seventeenth century to the late nineteenth
century, with emphasis on plays and
playwrights, architecture and decor, acting and
costuming, and significant personalities.
Extensive use of graphic materials, play
readings and production projects.
THET 497 Non-Traditional
Theatre (3 credits)
Seminar exploring American and European
experimental performance since 1960. Topics
include experimental theatre, performance art,
pornography and performance, gender and
performance, and popular culture and
performance. Topics are treated historically
and theoretically. Student-produced
performance projects are an important
component of the seminar.
THET 498 Seminar: Theatre
History (3 credits)
Three hours of discussion/recitation per
week. Prerequisite: THET488 or
THET489; and permission of instructor.
Senior standing. Repeatable to 6 credits if
content differs. Also offered as THET698.
Credit will be granted for only one of the
following: THET498 orTHET698.
Studies in theatre history from classical
antiquity to the present.
THET 499 Independent Study (1-
3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits.
An independent study course in which each
student completes an assigned major theatre
project under close faculty supervision.
Projects may culminate with term papers,
scenic or costume designs, or a stage
production.
THET 600 Introduction to
Graduate Research Methods (3
credits)
A research and bibliography course with
special emphasis on research in theatre.
Required of all M.A. and M.F.A. students.
THET 601 Framework and
Approaches for Theatre and
Performance Studies (3 credits)
Prerequisite: THET600.
Introduction to the theoretical and practical
"frameworks and approaches" that constitute
the foundational ideas, terms, and
methodologies for advanced research in the
field. For Masters students in Theatre and
Performance Studies.
THET 604 History and Theory of
Performance (3 credits)
Prerequisite: permission of department.
The notion of performance as trope, as
practice, and now as interdisciplinary field of
study is everywhere in critical discourse today.
This seminal" invites students to explore
histories and theories of performance from
Aristotle to present day.
THET 606 Teaching Theatre (1
credits)
Strategies and materials for teaching a typical
introductory course in theatre, with emphasis
on specific problems of classroom instruction
(e.g., creating a supportive climate, promoting
active learning by students, constructing
appropriate tests, adapting methods to content,
and resolving discipline problems).
THET 608 Seminar: Theory and
Performance Studies (3 credits)
Recommended: THET600 and THET700.
Repeatable to 09 credits if content differs.
Also offered as THET408. Not open to
students who have completed THET408.
Credit will be granted for only one of the
following: THET408 orTHET608.
A repeatable seminar on special topics in
theory of the Theatre and Performance
Studies.
THET 610 The American Theatre
(3 credits)
Recommended: THET 600.
The American theatre from 1750 to 1950,
including position of the theatre in the culture,
its typical features, and major artists.
THET 617 Performance
Internship (3 credits)
Prerequisite: permission of department.
Students design a one-semester internship in
an area of interest in professional theatre. The
internship will be in collaberation with one of
the Department's partner theatre companies, or
with another regional professional theatre.
Students will complete a written self-
assessment as well as an exit interview with
their on-site supervisor.
THET 619 Special Topics in
Performance Studio (6 credits)
Prerequisite: permission of department.
This is a rotating "special topics" course that
will address the history and theory of
performance in areas including: political
performance, experimental theatre, and
contemporary theatre. The course will be
offered by guest artists/instructors who are
part of the Theatre Department's professional
network of scholars and artists.
THET 620 Performance Studio 1
(6 credits)
Prerequisite: permission of department.
Students will develop a common performance
vocabulary examining the basic elements of
the craft of acting and vocal training.
THET 621 Performance Studio 2
(6 credits)
Prerequisite: permission of department.
Focus is placed on plays by contemporary
playwrights and movement training based on
the theories of F.M. Alexander, Michael
Chekhov, Jerzy Grotowski, and Jacques
Lecoq. The work will include jeu, neutral
mask, and psychological gesture.
THET 622 Performance Studio:
Classical Technique (6 credits)
Prerequisite: permission of department.
Students will learn how to perform heightened
language texts of global literature, including
the Greeks, Moliere, the Jacobeans,
Shakespeare, and works of African, Asian, or
Hispanic origin.
THET 623 Period Movement and
Verse Analysis (6 credits)
Prerequisite: permission of department.
Emphasizes movement skills related to
specific theatrical styles (such as the
movement of a Greek chorus in classical
drama), and will include period movement,
commedia dell arte, clown, and buffoon. The
voice training will focus on developing
singing technique, as well as accents, dialects,
and dialect research.
THET 629 Performance Lab (1
credits)
Prerequisite: permission of department.
Students will develop a performance project
based on the training that they have received
in their current semester's study. This course
offers students the oportunity to expand and
develop their practice.
THET 639 Advanced Design
Studio in Scenery (3 credits)
Pre- or corequisite: THET603 and
permission of department. Repeatable to 12
credits if content differs. Not open to
students who have completed THET471.
Credit will be granted for only one of the
following: THET471 orTHET639.
A repeatable course that allows students to
progress in their design training through a
series of carefully and individually structured
assignments and projects.
THET 641 Solo Performance (3
credits)
Prerequisite: permission of department.
Students will study both the history and
contemporary practice of creating solo
performances. A guest artist (a theatre
professional drawn from the Department's
professional network) will teach this course.
THET 643 Puppetry and
Performance (3 credits)
Prerequisite: permission of department.
Students will learn the history and techniques
of puppetry (Western and Non-Western).
THET 649 Advanced Design
Studio in Costume (3 credits)
Pre- or corequisite: THET603. Repeatable
to 12 credits if content differs. Also offered
as THET483. Not open to students who
have completed THET483. Credit will be
granted for only one of the following:
THET483orTHET649.
A series of carefully and individually
structured assignments and projects that allows
students to progress in their design training.
THET 659 Advanced Design
Studio in Lighting (3 credits)
Pre- or corequisite: THET603 and
permission of department. Repeatable to 12
credits if content differs. Not open to
students who have completed THET477.
Credit will be granted for only one of the
following: THET477 or THET659.
A repeatable course that allows students to
progress in their design training through a
series of carefully and individually structured
assignments and projects.
THET 669 Independent Study (1-
3 credits)
THET 670 Period Style for the
Theatre: Fashion and Decor (3
credits)
Recommended: THET600. Also offered as
THET475. Credit will be granted for only
one of the following: THET475 or
THET670.
A study of environmental decor, theatrical
architecture, historic ornament and fashion
through the ages and their practical application
for theatrical production.
THET 672 Theory of Visual
Design in Scenery (3 credits)
A historical and theoretical study of design
526
practices in performing arts with an emphasis
in scene design and interpretation.
THET 675 Theory of Visual
Design in Lighting (3 credits)
A historical and theoretical study of design
practices in performing arts with an emphasis
in lighting design and interpretation.
THET 677 Production Practices
(3 credits)
Prerequisite: permission of department.
Explores business practices in the field of
professional theatre, including arts
management, development, and marketing.
THET 678 Theory of Visual
Design For the Performing Arts
(3 credits)
Prerequisite: THET 375 or permission of
department.
A historical and theoretical study of design
practices in the performing arts.
THET 685 History of Theatrical
Theory Before Modernism (3
credits)
Recommended: THET 600.
Theories of drama (written script) and theatre
(performance) from fifth-century B.C. Greece
through nineteenth-century romanticism.
THET 686 History of Modern
Theory (3 credits)
Recommended: THET 600. Formerly
THET689.
Modern dramatic and performance theory
from realism through postmodernism with
special emphasis on the European and
American avant-garde.
THET 687 History of
Performance Theory: 1960 to
the Present (3 credits)
Recommended: THET600 or THET700.
The third in a sequence of seminars on the
history of dramatic and performance theory.
Focusing primarily on the European and
American avant-gardes, this course examines
the development of performance theory and
practice from the Absurd to the present.
THET 688 Special Problems in
Drama (3 credits)
The preparation of adaptations and other
projects in dramaturgy.
THET 697 Performance Thesis
Project (3 credits)
Prerequisite: permission of department.
The thesis project incorporates both a
performance and an oral examination that
assesses the student's ability to apply
techniques and methods learned thoughout
his/her course of study. Students will select a
performance project based on their areas of
expertise or interest. Performances will be
videotaped and reviewed by the student's
thesis committee.
THET 698 Seminar: Theatre
History (3 credits)
Prerequisite: THET 490, THET 491, or
equivalent. Recommended: THET 600.
Repeatable to 9 credits if content differs.
Studies in theatre history from classical
antiquity to the present.
THET 700 Introduction to
Doctoral Studies in Theatre (3
credits)
Prerequisite: admission to the Ph.D.
program in theatre.
Basic skills in theatre research.
THET 711 Critical Theory:
Methods and Concepts (3
credits)
Prerequisite: THET700 and permission of
instructor. Recommended: THET712.
A doctoral methods course. Major
developments in modern and postmodern
critical theory with particular emphasis on
application to the fields of Theatre and
Performance Studies.
THET 712 Historical Research
Methods and Historiography in
Theatre (3 credits)
Prerequisite: THET 700. Formerly
PCOM712.
A survey of methods commonly used in
writing theatre history and their application to
a suitable problem. Formulation of significant
research questions, systematic collection of
bibliographic and phenomenal information,
formulating substantial claims, organizing and
writing research for disciplinary outlets.
THET 713 Introduction to
Performance Studies (3 credits)
Three hours of discussion/recitation per
week. Prerequisite: THET 700.
Introduces doctoral students to theoretical and
methodological issues in performance studies.
We will focus on 3 primary areas of research,
analyzing representational strategies of
adaptations, ethnography, and cultural analysis
of theatrical performance.
THET 788 Master's Tutorial (1-6
credits)
Prerequisite: permission of instructor.
Collaboration with a faculty member on joint
creative and artistic projects.
THET 789 Master's Practicum (1-
6 credits)
Prerequisite: permission of instructor.
Participation in creative and artistic activities
with professional level theatrical
organizations.
THET 799 Master's Thesis
Research (1-6 credits)
THET 888 Doctoral Practicum in
Theatre (3-9 credits)
Repeatable to 9 credits if content differs.
Formerly PCOM888.
Critical analysis of a phase of a professional
field of theatre. Analysis of professional
activity through personal observation.
Evaluation of the purpose, process,
effectiveness, and efficiency of professional
activity. Recommendations for training and
further research.
THET 889 Doctoral Tutorial in
Theatre (3-8 credits)
Repeatable to 9 credits if content differs.
Formerly PCOM889.
Individual research in theatre.
THET 898 Pre-Candidacy
Research (1-8 credits)
THET 899 Doctoral Dissertation
Research (1-10 credits)
Formerly PCOM899.
Toxicology (TOXI)
TOXI 609 Methods in Toxicology
(1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits.
Provides the opportunity for graduate students
to become familiar with laboratory methods
used by the staff to study the effect of toxins
and environmental pollutants on living
systems. Permission and credit arranged
individually.
TOXI 799 Masters Thesis
Research (1-6 credits)
Prerequisite: permission of department.
TOXI 898 Pre-Candidacy
Research (1-8 credits)
TOXI 899 Doctoral Dissertation
Research (1-8 credits)
Prerequisite: permission of department.
University/World
Courses (UNIV)
UNIV 798 Special Topics
Colloquium on University
Teaching and Learning (1-3
credits)
One hour of lecture and one hour of
discussion/recitation per week.
Prerequisite: permission of department.
Repeatable to 06 credits if content differs.
Special topic courses directed at experienced
graduate teaching assistants who are interested
in university teaching and learning issues. Can
be used by students for participation in the
Universtiy Teaching and Learning Program.
Urban Studies and
Planning (URSP)
URSP 488 Selected Topics in
Urban Studies and Planning (1-3
credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Topics of special interest to advanced urban
studies students.
URSP 600 Research Design and
Application (3 credits)
Formerly URSP602.
Techniques in urban research, policy analysis,
and planning. Survey of descriptive and
normative models. Objective and subjective
measurements. Emphasis on assumptions of
research.
URSP 601 Research Methods (3
credits)
Prerequisite: approved statistics course or
permission of instructor. Recommended:
URSP 600. Formerly URBS601 .
Use of measurement, statistics, quantitative
analysis, and micro-computers in urban studies
and planning.
URSP 603 Land Use Planning:
Concepts and Techniques (3
credits)
Credit will be granted for only one of the
following: URSP603, URBS680 or
URBS603. Formerly URBS603.
Basic techniques for regulating the use and
appearance of land. Legal framework, social
implications, planning approaches,
communicating land use information.
URSP 604 The Planning Process
(3 credits)
Credit will be granted for only one of the
following: URSP 604, URBS 656 or URBS
604. Formerly URBS604.
527
Problem formulation, goal setting, generating
and evaluating alternatives, budgeting,
implementation. Working with committees
and communities, conducting meetings,
making decisions, and making presentations.
URSP 605 Planning History and
Theory (3 credits)
Exploration of the major events and issues in
United States planning history, the prevailing
theories of planning, and the planning history-
theory relationship. Emphasis on urban
planning.
URSP 606 Microeconomics of
Planning and Public Policy (3
credits)
Not open to students who have completed
URSP 630 or URBS 630. Credit will be
granted for only one of the following:
URSP 606, URBS 606, or URBS 630.
Formerly URBS606.
Resource allocation in a market economy, the
nature of market failures, and the justifications
for public sector intervention. The limits and
possibilities for planning in a market
economy.
URSP 607 Human Behavior and
the Physical Environments (3
credits)
Recommended: URSP 603. Formerly
URBS607.
Theories and research about ways human-
produced environments change and are
changed by the behavior of individuals and
groups.
URSP 612 Geographic
Information Systems for Urban
Planning (3 credits)
Credit will be granted for only one of the
following: URSP 612 or URSP 688M.
Formerly URSP688M.
An introduction to GIS and its application to
urban planning. Topics include: thematic
mapping, GIS data structure, spatial analysis,
Internet GIS, using census data to study urban
areas, and examples of urban GIS application.
Weekly laboratory and project work use
ArcGIS software.
URSP 630 Introduction to
Transportation Planning (3
credits)
Credit will be granted for only one of the
following: URSP 630 or URSP 688T.
Formerly URSP688T.
An introduction to the planning of all
transportation modes, concentrating on
automobile and public transit. Characteristics
of each mode, including capacity, right of way
requirements, cost, and relationship with land
use. Forecasting travel demand, determining
levels of service, traffic operations techniques,
parking, demand management, pedestrian and
bicycle facility planning and transportation
modeling.
URSP 631 Transportation and
Land Use (3 credits)
Credit will be granted for only one of the
following: URSP 631 or URSP 688L.
Formerly URSP688L.
The interrelationship between transportation
and land use. What are the impacts of various
transportation modes on land use patterns, and
how can land use solutions influence travel
demand. The integration of transportation into
master planning and site impact analysis.
Using quantitative methods to understand the
land use and transportation linkage.
URSP 632 The Urban
Neighborhood (3 credits)
Formerly URBS632.
Urban neighborhoods as physical, socio-
political and geographic entities. Residents'
perceptions, urban/suburban differences,
neighboring behavior, organization, planning,
design concepts.
URSP 640 Growth Management
and Environmental Planning (3
credits)
Topics associated with growth management,
defined as policies and strategies by which
governments attempted to control the amount,
location, pace, pattern and quality of
development within their jurisdictions.
URSP 660 Function and
Structure of Metropolitan Areas
(3 credits)
Formerly URBS660.
Theoretical and historical examination of basic
urban functions. Intra-metropolitan location of
activities. Role of metropolitan planning in a
market economy. Examination of cases of
metropolitan planning to assess alternative
strategies for future metropolitan development.
URSP 661 City and Regional
Economic Development
Planning (3 credits)
Prerequisite: URSP 606 or URSP 660.
Credit will be granted for only one of the
following: URSP 661, URBS 440 or URBS
661. Formerly URBS661.
Spatial patterns of employment and
populations, and models of urban and regional
growth and decline. Focus on application of
economic theory and urban planning
techniques to issues of local economic
development and planning.
URSP 662 Urban and Regional
Planning in Developing
Countries (3 credits)
Theoretical exploration of urban and regional
change drawing upon international planning
and social science literature, and case-study
analysis of multiple challenges and
opportunities facing planners and policy-
makers in the urban-centered areas of less-
developed countries.
URSP 664 Real Estate
Development for Planners (3
credits)
Prerequisite: URSP 606. Credit will be
granted for only one of the following:
URSP 664 or URSP 688F. Formerly
URSP688F.
Planning, Architectural and Public Policy
students are introduced to the real estate
development process primarily from the point
of view of the private entrepreneurial
developer. It will include the steps in
undertaking a real estate development from the
initial concept to the property management
and final disposition, the basic financial and
tax concepts underlying real estate
development, a review of national housing
policy,including public-private partnerships,
and solving specific real estate development
problems using financial spread-sheets.
URSP 671 Politics and Planning
(3 credits)
Formerly URSP691.
Examination of the practice of planning as a
technical and a practice role. Attitudes of
planners toward plan implementation.
Development of effective roles for
professional planners.
URSP 673 Social Planning (3
credits)
Credit will be granted for only one of the
following: URSP 673, URBS 683, or
URBS 673. Formerly URBS673.
Planning programs and policies in health,
education, and social welfare. Strategies for
organizational and community change and
development.
URSP 681 Urban Planning Law
(3 credits)
Credit will be granted for only one of the
following: URSP 681, URBS 450, or
URBS 681. Formerly URBS681.
Survey of the urban legal environment. Issues
of planning, zoning, eminent domain, land use
controls, housing codes, historic preservation
and related tax provisions.
URSP 688 Recent Developments
in Urban Studies (2-6 credits)
Formerly URBS688.
Examination of selected current aspects of
urban affairs and planning, including, for
example, <"new towns"> in the United States
or neighborhood preservation in Russia.
Location of course may be off-campus.
URSP 705 Summer Community
Planning Studio I (4 credits)
Prerequisite: permission of instructor.
Intensive community planning group field
work, typically five days a week for four
weeks. Often outside the USA. Application of
class work to actual planning and policy
challenges. Students seeking to meet the
URSP studio requirement must also take
URSP 706.
URSP 706 Summer Community
Planning Studio II (2 credits)
Prerequisite: permission of instructor.
Intensive analysis and report-preparation of
work completed in URSP 705. Held in College
Park. Students seeking to meet the URSP
studio requirement must also take URSP 705.
URSP 708 Community Planning
Studio (2-6 credits)
Prerequisites: URSP 600, URSP 601,
URSP 604, URSP 605 and permission of
department. Repeatable to 06 credits.
Credit will be granted for only one of the
following: URSP 704 or URSP 708.
Formerly URSP704.
The Community Planning Studio is a
"capstone" course intended to provide students
with an opportunity to apply their knowledge
and skills to analyze current, pressing planning
issues, in a selected community and to produce
a report containing recommendations for
addressing those issues. In essence, students
act as a consulting team for a community
client.
URSP 709 Field Instruction (3-6
credits)
Prerequisites: URSP 600, URSP 604,
URSP605 or permission of department.
Repeatable to 6 credits. Credit will be
granted for only one of the following:
URSP 703 or URSP 709. Formerly
URSP703.
Students will satisfy a 300-hour internship (20
hours for 1 5 weeks during the spring, 25 hours
528
a week for 12 weeks during the summer).
Suitable internships are approved by the
Internship Coordinator or Instructor; they
involve a significant amount of planning work
(preferably in the student's are of interest) and
provide an appropriate on-site supervisor. The
Internship Coordinator will assist students in
finding a suitable internship, but the ultimate
responsibility rests with each student. Whether
the internship is paid or not is a matter to be
worked out between the student and the
organization.
URSP 710 Research Seminar:
Urban Theory and Issues (3
credits)
Prerequisite: 15 graduate credit hours in
URSP. For URSP majors only. Formerly
URBS710.
An advanced research seminar for M.A. and
M.C.P. students preparing their final research
projects.
URSP 788 Independent Study in
Urban Studies and Planning (1-3
credits)
Repeatable to 6 credits if content differs.
Formerly URBS788.
Directed research and study of selected aspects
of urban affairs.
URSP 798 Readings in Urban
Studies and Planning (1-3
credits)
Repeatable to 6 credits if content differs.
Formerly URBS798.
Directed readings in selected aspects of urban
affairs and planning.
URSP 799 Master's Thesis
Research (1-6 credits)
Formerly URBS799.
URSP 804 Advanced Planning
Theory (3 credits)
Relations between theory and practice in
planning. Ways of developing and using
knowledge in collective action. Challenges to
organizing for planning, finding knowledge
useful for planning and balancing social
attachments with free inquiry.
URSP 805 Seminar in Research
Design (3 credits)
Prerequisite: URSP 804 and URSP 810.
Addresses fundamental aspects of research
desing for Ph.D students in urban planning and
policy-related fields. Topics include principles
of research design, formulating a feasible
hypothesis and identifying appropriate
methodology for testing hypotheses eg.
qualitative methods, quantitative methods,
survey research. Writing of proposals and
dissertation. Publication, presentation, and
funding.
URSP 810 Contemporary
Metropolitan Issues (3 credits)
For Ph.D majors only.
Introduces Ph.D. students to current
metropolitan issues. Focus is on the historical
development of the issue, problem definition,
methodological approaches to its study,
methodological dilemmas, and the ways that
different conclusions are translated into policy.
Topics vary from semester to semester but
include such topics as the spatial mismatch
hpothesis, the impact of urban design and form
on travel behavior, the impact of technology
on urban form, the justification for historic
preservation, and sustainable development.
URSP 898 Pre-Candidacy
Research (1-8 credits)
Repeatable to 06 credits if content differs.
Selected topics in Urban Studies and Planning.
Topics will vary with the instructor.
URSP 899 Doctoral Dissertation
Research (1-8 credits)
This course is a required course for the Ph.D
program in Urban and Regional Planning and
Design.
Latina/o Studies
(USLT)
USLT 488 US Latina/o Senior
Seminar (3 credits)
Prerequisite: Senior standing and
permission of instructor. Recommended:
USLT201 or USLT202. Repeatable to 9
credits if content differs .
A variable topics seminar that exposes
students to interdisciplinary critical readings,
writings, and research in U.S. Latina/o
Studies. Interdisciplinary research
methodologies are broadly addressed. Students
will gain skills and practice in reading critical
analytic texts and will develop writing skills.
USLT 498 US Latina/o Studies:
Special Topics (3 credits)
Prerequisite: USLT201 or USLT202.
Junior or Senior standing. Repeatable to 9
credits if content differs.
Specific content to be announced when
courses are offered.
Veterinary Medicine
(VMED)
VMED 688 Special Topics in
Veterinary Medical Sciences (1-4
credits)
Four hours of discussion/recitation per
week. Prerequisite: permission of
department. Repeatable to 8 credits if
content differs.
Lectures and discussions on current topics in
veterinary medicine such as animal disease
surveillance, risk analysis, molecular
epidemiology, or fish pathology. Targeted at
veterinary medicine (DVM) students and other
graduate students with a background in
veterinary medical sciences.
VMED 698 Seminar in Veterinary
Medical Sciences (1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits if content differs.
Presentation and discussion of scientific
publications, current topics and new
methodologies related to veterinary medical
sciences.
VMED 699 Special Problems in
Veterinary Medical Sciences (1-4
credits)
Prerequisite: permission of faculty mentor.
Repeatable to 8 credits if content differs.
Independent study of a specific problem
related to veterinary medicine such as a
disease outbreak, application of a new
diagnostic test or a risk analysis related to
animal health. Targeted at veterinary medicine
(DVM) students and other graduate students
with a background in veterinary medicine.
VMED 799 Thesis Research (1-6
credits)
1 semester hours. Repeatable to 99 credits
if content differs.
VMED 899 Dissertation
Research (1-8 credits)
1 semester hours. Repeatable to 99 credits
if content differs.
Veterinary Medical
Sciences (VMSC)
VMSC 600 Infectious Disease
Diagnosis and Interpretation (1
credits)
A broad exposure to many different diagnostic
techniques, the appropriate use of the tests,
how they are to be interpreted and the possible
consequences of the diagnosis.
VMSC 610 Recombinant Viral
Vectors (3 credits)
Prerequisite: permission of instructor.
A comprehensive presentation of information
on the molecular biology of the most relevent
viral vectors developed to date and give
insight on vector contruction, purification and
utilization. Also intended for students in
virology and related fields as well as to those
interested in the application of viral vectors to
basic research.
VMSC 688 Special Topics in
Veterinary Medical Sciences (1-4
credits)
Four hours of discussion/recitation per
week. Prerequisite: permission of
department. Repeatable to 8 credits.
Lectures and discussions on current topics in
veterinary medicine s as animal disease
surveillance, risk analysis, molecular
epidemiolo or fish pathology. Targeted at
veterinary medicine (DVM) students a other
graduate students with a background in
veterinary medical sciences.
VMSC 689 Use of Genomics and
Proteomics in Infectious
Disease (3 credits)
Recommended: BCHM463, and BSCI230
or BSCI330 or equivalent; or by
permission. Repeatable to 06 credits if
content differs.
Focus is placed on current biotechnological
development and recent research
breakthroughs in the field of genomics and
proteomics as it relates to infectious disease
and drug/vaccine development.
VMSC 698 Seminar in Veterinary
Medical Science (1-3 credits)
Prerequisite: permission of department.
Repeatable to 6 credits.
Presentation and discussion of scientific
publications, current topics and new
methodologies related to veterinary medical
sciences.
VMSC 699 Special Problems in
Veterinary Medical Sciences (1-4
credits)
Prerequisite: permission of faculty mentor.
Repeatable to 8 credits.
Independent study of a specific problem
related to veterinary medicine such as a
disease outbreak, application of a new
diagnostic test or a risk analysis related to
animal health. Targeted at veterinary medicine
(DVM) students and other graduate students
with a background in veterinary medicine.
529
VMSC 705 Genetics of Animal
Viruses (2 credits)
Two hours of lecture per week.
Prerequisite: MICB 460 or permission of
instructor,
An advanced course that covers the structure
and complexity of viral genomes, genome
replication and expression, virus-host
interactions, virus evolution, genetic principles
and methodology applicable to animal viruses.
VMSC 720 Viral Pathogenesis (2
credits)
Prerequisite: permission of instructor.
This course will teach graduate students about
mechanisms of infections by animal and
human viral pathogens, including virus-cell
interactions, host responses, and consequences
of virus infection. Particular attention will be
focused on the molecular mechanisms of the
interactions between virus and host.
VMSC 760 Immunology of
Infectious Diseases (3 credits)
Prerequisite: MICB750 or equivalent; or
permission of instructor.
An advanced graduate level course that
focuses on the cutting-edge knowledge of
immunity and recent research breakthroughs in
the interactions between host immune system
and infectious pathogen, and vaccine
development
VMSC 799 Thesis Research (1-6
credits)
1 semester hours. Repeatable to 99 credits
if content differs.
VMSC 898 Pre-Candidacy
Research (1-8 credits)
VMSC 899 Dissertation
Research (1-8 credits)
1 semester hours. Repeatable to 99 credits
if content differs.
Women's Studies
(WMST)
WMST 400 Theories of
Feminism (3 credits)
Prerequisite: one course in WMST or a
course cross-listed with a WMST course.
A study of the multiplicity of feminist theories
which have been developed to explain
women's position in the family, the workplace,
and society. Major feminist writings are
considered in the context of then" historical
moment and in the context of the intellectual
traditions to which they relate.
WMST 408 Literature by Women
Before 1800 (3 credits)
Prerequisite: Two English courses in
literature or permission of department.
Repeatable to 9 credits if content differs.
Also offered as ENGL408. Credit will be
granted for only one of the following:
ENGL408 or WMST408.
Selected writings by women in the medieval
and early modern era.
WMST 410 Women of the
African Diaspora (3 credits)
Explores the lives, experiences, and cultures of
women of Africa and the African diaspora-
African- America, the Caribbean, and Afro-
Latin America. A variety of resources and
materials will be used providing a distinctive
interdisciplinary perspective.
WMST 420 Asian American
Women: The Social
Construction of Gender (3
credits)
Also offered as AAST420. Credit will be
granted for only one of the following:
AAST420 or WMST420.
Examines the intersection of gender, race and
class as it relates to Asian American women in
the United States; how institutionalized
cultural and social statuses of gender, race,
ethnicity and social class, produce and
reproduce inequality within the lives of Asian
American women.
WMST 425 Gender Roles and
Social Institutions (3 credits)
Also offered as SOCY425. Credit will be
granted for only one of the following:
SOCY425orWMST425.
Relationship between gender roles and the
structure of one or more social institutions
(e.g., the economy, the family, the political
system, religion, education). The incorporation
of gender roles into social institutions;
perpetuation or transformation of sex roles by
social institutions; how changing gender roles
affect social institutions.
WMST 430 Gender Issues in
Families (3 credits)
Prerequisite: SOCY100, SOCY105, or
PSYC100. Also offered as FMSC430.
Credit will be granted for only one of the
following: FMSC430 or WMST430.
The development of historical, cultural,
developmental and psychosocial aspects of
masculinity and femininity within the context
of contemporary families, and the implications
for interpersonal relations.
WMST 436 The Legal Status of
Women (3 credits)
Prerequisite: GVPT231. Also offered as
GVPT436. Credit will be granted for only
one of the following: GVPT436 or
WMST436.
An examination of judicial interpretation and
application of common, statutory, and
constitutional law as these affect the status of
women in American society.
WMST 444 Feminist Critical
Theory (3 credits)
Prerequisite: ENGL250, WMST200 or
WMST250. Also offered as ENGL444.
Credit will be granted for only one of the
following: ENGL444 or WMST444.
Issues in contemporary feminist thought that
have particular relevance to textual studies,
such as theories of language, literature,
culture, interpretation, and identity.
WMST 448 Literature by Women
of Color (3 credits)
Prerequisite: Two English courses in
literature or permission of department.
Repeatable to 9 credits if content differs.
Also offered as ENGL448. Credit will be
granted for only one of the following:
ENGL448 or WMST448.
Literature by women of color in the United
States, Britain, and in colonial and post-
colonial countries.
WMST 452 Women in the Media
(3 credits)
Also offered as JOUR452. Credit will be
granted for only one of the following:
JOUR452orWMST452.
Participation and portrayal of women in the
mass media from colonial to contemporary
times.
WMST 453 Victorian Women in
England, France, and the United
States (3 credits)
Also offered as HIST493. Credit will be
granted for only one of the following:
HIST493orWMST453.
Examines the lives of middle and upper-class
women in England, France, and the United
States during the Victorian era. Topics include
gender roles, work, domesticity, marriage,
sexuality, double standards and women's
rights.
WMST 454 Women in Africa (3
credits)
Also offered as H1ST494. Credit will be
granted for only one of the following:
HIST494 or WMST454.
The place of women in African societies: the
role and function of families; institutions such
as marriage, birthing, and child rearing; ritual
markers in women's lives; women in the
workplace; women's associates; women's
health issues; measures designed to control
women's behavior; women and development.
WMST 455 Women in Medieval
Culture and Society (3 credits)
Also offered as HIST495. Credit will be
granted for only one of the following:
HIST495orWMST455.
Medieval women's identity and cultural roles:
the condition, rank and rights of medieval
women; their access to power; a study of
women's writings and the constraints of social
constructs upon the female authorial voice;
and contemporary assumptions about women.
WMST 456 Women and Society
in the Middle East (3 credits)
Recommended: prior coursework in Middle
East studies or gender studies. Also offered
as HIST 492. Credit will be granted for
only one of the following: HIST 492 or
WMST 456.
Examines the customs, values and institutions
that have shaped women's experience in the
Middle East in the past and in the
contemporary Middle East.
WMST 457 Redefining Gender in
the U.S., 1880-1935 (3 credits)
Also offered as HIST433. Credit will be
granted for only one of the following:
HIST433 or WMST457.
Exploring changing perceptions of gender in
the U.S., 1880-1935, and the impact of those
changes on the day to day lives of men and
women.
WMST 458 Literature by Women
After 1800 (3 credits)
Prerequisite: Two English courses in
literature or permission of department.
Repeatable to 9 credits if content differs.
Also offered as ENGL458. Credit will be
granted for only one of the following:
ENGL458 or WMST458.
Selected writings by women after 1800.
WMST 468 Feminist Cultural
Studies (3 credits)
Repeatable to 9 credits if content differs.
Each version of this course focuses on one or
several forms of popular culture ~ such as TV,
music, film, cyber-culture, or genre fiction (for
example, science fiction) — and demonstrates
530
how feminists value, critique and explain such
forms. Tools of feminist cultural studies
include economic and social analyses of
power, race, sexuality, gender, class,
nationality, religion, technology, and
globalization processes.
WMST 469 Study Abroad
Special Topics IV (1-6 credits)
Repeatable to 15 credits if content differs.
Special topics course taken as part of an
approved study abroad program.
WMST 471 Women's Health (3
credits)
Also offered as HLTH471. Credit will be
granted for only one of the following:
HLTH471 orWMST471.
The women's health movement from the
perspective of consumerism and feminism.
The physician-patient relationship in the
gynecological and other medical settings. The
gynecological exam, gynecological problems,
contraception, abortion, pregnancy, breast and
cervical cancer and surgical procedures.
Psychological aspects of gynecological
concerns.
WMST 488 Senior Seminar (3
credits)
Prerequisite: permission of department.
Repeatable to 9 credits if content differs.
Seminar for advanced majors in women's
studies or other students with appropriate
preparation. Interdisciplinary topics will vary
each semester.
WMST 494 Lesbian
Communities and Differences (3
credits)
Prerequisite: One course in Women's
Studies, preferably WMST200 or
WMST250. Also offered as LGBT494.
Credit will be granted for only one of the
following: LGBT494 or WMST494.
The meanings of lesbian communities across
many lines of difference. Using lesbian-
feminists of the 1970s as a starting point, we
will look both back and forward in history,
tracing changes and exploring the meanings of
these in their social and historical contexts.
WMST 496 African-American
Women Filmmakers (3 credits)
Also offered as THET496. Credit will be
granted for only one of the following:
THET496orWMST496.
Examines the cinematic artistry of African-
American women filmmakers and the ways in
which these films address the dual and
inseparable roles of race and gender.
WMST 498 Advanced Special
Topics in Women's Studies (1-3
credits)
Prerequisite: permission of department.
Repeatable to 9 credits if content differs.
WMST 499 Independent Study
(1-3 credits)
Prerequisite: One course in women's
studies and permission of department.
Repeatable to 9 credits if content differs.
Research and writing or specific readings on a
topic selected by the student and supervised by
a faculty member of the Women's Studies
Department.
WMST 601 Approaches to
Women's Studies I (3 credits)
Prerequisite: WMST400 or equivalent.
Examines two fundamental concepts in
women's studies: intersectionality and
interdisciplinarity. Looks at how feminisms
have shaped and been shaped by knowledge-
production within and across disciplinary
boundaries, cultures, and paradigms. Develops
an appreciation of intersectional theory as a
critical research tool and as a set of responses
to issues of power, domination, oppression and
other loci of difference.
WMST 602 Approaches to
Women's Studies II (3 credits)
Prerequisite: WMST 400 or equivalent.
Continued examination of intersectionality and
interdisciplinarity with emphasis on the
politics of knowledge production and identity.
WMST 611 Power, Gender, and
the Spectrum of Difference (3
credits)
Prerequisite: WMST 400 or permission of
department.
The spectrum of racial, ethnic, regional,
religious, sexual, class, age,
physical/psychological differences among
women. The shifting relations of power
created by the intersections of these categories
and the theoretical practical strategies for
addressing issues based on the spectrum of
"difference."
WMST 618 Feminist Pedagogy
(3 credits)
Prerequisite: permission of department.
Examines the higher education classroom from
a feminist perspective through theory and
analysis. Students are graduate teaching
assistants with the Women's Studies
department.
WMST 619 Women's Studies
Teaching Practicum (1 credits)
Prerequisite: permission of department;
WMST 618; and current WMST teaching
assistant. Repeatable to 12 credits if content
differs.
Provides Women's Studies graduate teaching
assistants with ongoing regular faculty
supervision during the semesters the students
are teaching WMST courses.
WMST 621 Feminist Theories
and Women's Movements:
Genealogies (3 credits)
Prerequisite: WMST 400 or permission of
department.
Examines theories to explain the matrix of
domination from the nineteenth century to the
present. Students learn the key debates that
produceed new insights and shifted the ground
of subsequent feminist theorizing within
multi-racial feminisms. Examines those
debates within global perspectives. Examines
how dominant theoretical frameworks have
been developed at specific historical moments.
WMST 628 Women's Studies
Colloquium (1 credits)
Repeatable to 12 credits if content differs.
An intensive advanced exploration of current
problems and issues in women's studies.
WMST 698 Special Topics in
Women's Studies (1-3 credits)
Prerequisite: WMST 400 or permission of
department. Repeatable to 6 credits if
content differs.
Advanced worik in selected topics in Women's
Studies.
WMST 699 Independent Study
(1-3 credits)
Prerequisite: permission of instructor.
Research and writing on specific readings on a
topic selected by the student which is
approved and supervised by a faculty member
of the Women's Studies Department.
WMST 708 Research Seminar in
Women's Studies (3 credits)
Prerequisite: Graduate student standing and
permission of instructor. Repeatable to 9
credits if content differs.
This seminar provides an opportunity for those
students interested in pursuing feminist
research and teaching to synthesize and
explore feminist analyses of university life,
including research and writing methods,
learning styles, curricular issues, and the
"chilly climate" for women.
WMST 709 Directed Independent
Reading for Major Field Exam
(1-4 credits)
Prerequisite: permission of department.
Directed reading in preparation for Major
Field Exam. In consultation with their
advisors, students identify, analyze, critique
and synthesize material relevant to their" major
fields of inquiry. Grading will be based on
combinations of oral performance in the bi-
weekly meetings, production of annotated
bibliographies and synthetic papers.
WMST 799 Masters Thesis
Research (1-6 credits)
Repeatable to 9 credits.
WMST 898 Pre-Candidacy
Research (1-8 credits)
WMST 899 Doctoral Dissertation
Research (1-8 credits)
Repeatable to 10 credits if content differs.
531
Chapter 23: Graduate Faculty
Diker, Vedat Adjunct Member
PhD, SUNY Albany.
- Senior Lecturer, Information
Management
Lin, Jimmy Regular Member
- Associate Professor, Information
Management
Qu,Yan Regular Member
- Assistant Professor, Information
Management
A'Hearn, Michael F. Regular
Member
B.S., Boston College, 1961; Ph.D.,
University of Wisconsin-Madison, 1966.
- Distinguished University Professor
Emeritus, Astronomy
- Research Professor, Astronomy
Abazajian, Kevork Regular Member
B.S., University of Houston, 1996; M.S.,
UC San Diego, 1997; Ph.D., UC San
Diego 2001;
- Assistant Professor, Physics
Abed, Eyad Regular Member
B.S., Massachusetts Institute of
Technology, 1979; M.S., University of
California-Berkeley, 1981; Ph.D., 1982.
GCEN Academic Advisor for Systems
Engineering
- Professor, Engineering: Electrical &
Computer Engineering
- Professor, Engineering: Systems
Engineering
Abshire, Pamela Regular Member
B.S., California Institute of Technology,
1992; M.S., The Johns Hopkins
University, 1997; Ph.D., The Johns
Hopkins University, 2001.
- Associate Professor, Engineering:
Electrical & Computer Engineering
- Associate Professor, Neuroscience and
Cognitive Science
- Associate Professor, Engineering:
Systems Engineering
- Affiliate Assistant Professor,
Engineering: Bioengineering
Adams, Jeffrey D. Regular Member
B.A., Johns Hopkins University, 1977;
Ph.D., Yale University, 1981.
- Professor, Mathematics
Adams, John Regular Member
A.B., Oberlin College, 1960 Ph.D.,
University of Texas, 1966.
- Professor Emeritus, Economics
Adams, Lowell W. Regular Member
B.S., Virginia Polytechnic Institute & State
University, 1968; M.S., Ohio State
University-Columbus, 1973; Ph.D., 1976.
- Adjunct Associate Professor,
Enviromental Science and Technology
Adams, William W. Regular Member
B.A., University of California-Los Angeles,
1959; Ph.D., Columbia University, 1964.
- Professor Emeritus, Mathematics
Adams-Gaston, Javaune Adjunct
Member
B.A., University of Dubuque, 1978; M.A.,
Loras College, 1980; Ph.D., Iowa State
Univ. 1983.
- Affiliate Assistant Professor, Education:
Counseling and Personnel Services
Ades, Ibrahim Z. Regular Member
B.A., University of California-Los Angeles,
1971; Ph.D., 1976.
- Affiliate Professor, Biological Sciences
Adomaitis, Raymond A. Regular
Member
B.S., Illinois Institute of Technology,
1984;Ph.D., 1988.
- Professor, Engineering: Systems
Engineering
- Professor, Engineering: Chemical
Engineering
- Professor, Systems Research, Institute
for
Afflerbach, Peter P. Regular
Member
B.A., State University of New York-Albany,
1978; M.S., 1979; Ph.D., 1985.
- Professor, Education: Curriculum and
Instruction
Agar, Michael Regular Member
Ph.D., University of California Berkeley,
1971 ; BA - Anthropology, Stanford
University, 1967
- Professor Emeritus, Anthropology
Agarwal, Ritu Regular Member
B.A., University of Delhi, 1982; M.B.A.,
Indian Institute of Management,
Calcutta, 1984; M.S., Syracuse University,
1988; Ph.D., Syracuse University, 1988.
- Professor, Business and Management
Aggour, Mohamed S. Regular
Member
B.S., Cairo University, 1964;M.S., 1966;
Ph.D., University of Washington, 1972.
- Professor, Engineering: Civil and
Environmental Engineering
Agrawala, Ashok K. Regular
Member
B.S., Agra University, 1960; B.E., Indian
Institute of Science-Bangalore, 1963;
M.Eng., 1965; Ph.D. .Harvard University,
1970.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Computer Science
- Professor, Advanced Computer Studies,
Institute for
- Affiliate Professor, Engineering:
Electrical & Computer Engineering
Aguilar-Mora, Jorge Regular
Member
B.A., Universidad Nacional de Mexico,
1966; Ph.D., El Colegio de Mexico, 1976.
- Distinguished University Professor
Emeritus, Spanish and Portuguese
Languages and Literatures
Ahmed, Hafiz Regular Member
B.S., The University of Calcutta, 1980;
M.S., The University of Calcutta, 1983;
Ph.D., Jadavpur University, 1986.
- Assistant Professor, Marine-Estuarine-
Environmental Sciences
Ahn, June Regular Member
B.A., Brown University, 2003; M.A.,
Columbia University, 2006; Ph.D.,
University of Southern California, 201 0.
- Assistant Professor, Education: Policy
Studies
- Assistant Professor, Human-Computer
Interaction
- Assistant Professor, Library Science
Akin, David L. Regular Member
S.B., Massachusetts Institute of
Technology, 1974; S.M., 1975; Sc.D.,
1981.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
-Associate Professor, Engineering:
Aerospace Engineering
- Associate Professor, Systems Research,
Institute for
Al-Sheikhly, Mohamad I. Regular
Member
B.Sc, University of Baghdad, 1974; Ph.D.,
University of Newcastle, 1 981 .
- Professor, Engineering: Materials
Science and Engineering
-Affiliate Professor, Engineering:
Bioengineering
-Affiliate Professor, Engineering:
Chemical Engineering
Alavi, Maryam Regular Member
B.A., State University of New York-
Buffalo, 1972; M.S., Ohio State University,
1974; Ph.D., 1978.
- Distinguished Scholar-Teacher,
Distinguished Faculty
Alberini, Anna Regular Member
B.A., Italy, 1987; M.A., 1989; Ph.D.,
University of California-San Diego, 1992.
- Associate Professor, Agricultural and
Resource Economics
Albrecht, Pedro Regular Member
Ph.D., Lehigh University, 1972.
- Professor Emeritus, Engineering: Civil
and Environmental Engineering
Aldoory, Linda Regular Member
B.A., George Washington University,
1988; M.A., University of Texas-Austin,
1991; Ph.D., Syracuse University, 1998.
- Affiliate Associate Professor, Women's
Studies
Alexander, Millard H. Regular
Member
B.A., Harvard University, 1964;
Ph.D. .University of Paris, 1967.
- Distinguished University Professor,
Applied Mathematics & Statistics, and
Scientific Computation
- Distinguished University Professor,
Chemistry
- Distinguished University Professor,
Chemical Physics
- Distinguished Faculty Research Fellow,
Distinguished Faculty
Alexander, Patricia A. Regular
Member
B.A., Bethel College-McKenzie, 1970;
M.Ed., James Madison University, 1979;
Ph.D., University of Maryland-College
Park, 1981.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Education: Human
Development
Aiford, Charles F. Regular Member
B.A., Austin College, 1969; M.A.,
University of Texas-Austin, 1971; Ph.D.,
1979.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Government and Politics
Allee, Todd Regular Member
B.A., University of Missouri, 1994; M.S.,
London School of Economics and Political
Science, 1996; Ph.D., University of
Michigan, 2003.
- Assistant Professor, Government and
Politics
532
Allen, Dale Adjunct Member
B. S., Iowa State University, 1984; M. S.,
Florida State University, 1987; Ph. D.,
University of Maryland, 1996.
- Associate Research Scientist,
Atmospheric and Oceanic Science
Allewell, Norma M. Regular Member
B.Sc, Biochemistry, McMaster Univ.,
Hamilton, Ontario, 1965 Ph.D., Molecular
Biophysics, Yale University, 1969
- Professor, Biological Sciences
Alley, Carroll O., Jr. Regular
Member
B.S., University of Richmond, 1948;
M.A., Princeton University, 1951; Ph.D.,
1962.
- Professor, Physics
Almon, Clopper Regular Member
B.A., Vanderbilt University, 1956;
Ph.D. .Harvard University, 1962.
- Professor Emeritus, Economics
Almquist, David L. Regular Member
B.Arch., University of Virginia, 1968;
M.S. .University of Maryland-College Park,
1973.
- Director, Extension Service Talbot
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service Talbot
Aloimonos, John Regular Member
B.S., University of Athens-Greece, 1 981 ;
M.S., University of Rochester, 1984;
Ph.D., 1987.
- Professor, Computer Science
- Professor, Advanced Computer Studies,
Institute for
- Professor, Neuroscience and Cognitive
Science
- Affiliate Professor, Engineering:
Electrical & Computer Engineering
Alt, Francis B. Regular Member
B.S.E., Johns Hopkins University, 1967;
M.S., Georgia Institute of Technology,
1973; Ph.D., 1977.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Associate Professor, Business and
Management
Alvares de Azevedo Lau,
Ellen Regular Member
B.S., Michigan State University, 2003;
Ph.D., University of Maryland-College
Park, 2009.
- Assistant Professor, Linguistics
Alvestad, Kathryn Regular Member
B.S., Virginia Polytechnic & State
University, 1970; M.Ed., University of
Maryland-College Park, 1976; Ph.D.,
University of Maryland-College Park,
1991.
- Adjunct Associate Professor, Education:
Measurement, Statistics and Evaluation
Ambacher, Bruce Regular Member
- Visiting Professor, History/Library
Science
Ambacher, Bruce I. Regular Member
B.A., Pennsylvania State University, 1965;
M.A., Pennsylvania State University,
1967; Ph.D., Temple, 1970.
- Visiting Professor, Library Science
- Visiting Professor, Information Studies
Ambrose, Michael A. Regular
Member
BArch., Temple University, 1996 MArch.,
Syracuse University, 2001
- Assistant Professor, Architecture
Amde, Amde M. Regular Member
B.E.S., Johns Hopkins University, 1970;
M.S., University of California-Berkeley,
1971; Ph.D., State University of New
York-Buffalo, 1976.
- Professor, Engineering: Civil and
Environmental Engineering
Ammon, Herman L. Regular
Member
B.S., Brown University, 1958;
Ph.D. .University of Washington, 1963.
- Professor, Chemistry
Anand, Davinder K. Regular
Member
B.S., George Washington University,
1959; M.S., 1961; Ph.D., 1965.
GCEN Academic Advisor for Energetic
Concepts Development
- Professor, Engineering: Professional
Master of Engineering
- Professor Emeritus, Engineering:
Mechanical Engineering
Anandalingam,
Gnanalingam Regular Member
B.A., University of Cambridge, 1975; M.S.,
Harvard University, 1977; Ph.D., Harvard
Univerity, 1991.
- Dean, Business and Management
Anderle, Mariano Special Member
Ph.D., University of Trento, 1980.
- Affiliate Professor, Engineering:
Materials Science and Engineering
Anderson, Elaine A. Regular
Member
B.S., University of Nebraska-Lincoln,
1973; M.S., Pennsylvania State
University-University Park, 1975; Ph.D.,
1979.
- Chair, Family Science
- Professor, Family Science
- Professor, Public Health: Maternal and
Child Health Ph.D.
Anderson, James Robert Regular
Member
B.S., Iowa State University, 1955; Ph.D.,
1965.
- Professor, Physics
Anderson, John D. Regular Member
B.S. University of Florida, 1959; Ph.D.,
Ohio State University-Columbus, 1966.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emeritus, Engineering:
Aerospace Engineering
Anderson-Sawyer, Anne Regular
Member
- Lecturer, Public Health: Behavioral and
Community Health Ph.D.
- Lecturer, Public Health: Master of Public
Health-Community Health Education
Andrews, David L. Regular Member
B.Ed. Exeter University, 1985; M.S.
University of Illinois at Urbana-
Champaign, 1991 Ph.D., University of
Illinois at Urbana-Champagne, 1993
- Professor, Kinesiology
- Affiliate Associate Professor, Sociology
Andrews, J. Edward, Jr. Regular
Member
B.S.,Frostburg State University, 1957;
M.Ed., University of Maryland-College
Park,1961;Ed.D., 1968.
- Visiting Professor, Education: Policy and
Leadership
Andrews, Norma Regular Member
Ph.D. University of Sao Paulo, Brazil
1983.
- Professor, Biological Sciences
Angel, C. Roselina Regular Member
B.S., Iowa State University, 1984;M.S.,
1987; Ph.D., 1990.
- Associate Professor, Animal Sciences
Angle, J. Scott Regular Member
B.S., University of Maryland-College Park,
1975; M.S., 1978; Ph.D., University of
Missouri-Columbia, 1981.
- Associate Director, Agricultural
Experiment Station
- Professor, Agricultural Experiment
Station
Anisimov, Mikhail A. Regular
Member
Ph.D., Moscow State University, 1968.
- Professor, Engineering: Chemical
Engineering
- Professor, Chemical Physics
Ankem, Sreeramamurthy Regular
Member
B.Eng.,K.R. Engineering College-
University of Mysore, 1972; M.Eng., Indian
Institute of Science-Bangalore, 1974;
Ph.D. .Polytechnic Institute of New York,
1980.
- Professor, Engineering: Materials
Science and Engineering
Anlage, Steven Regular Member
B.S., Rensselaer Polytechnic Institute,
1982; M.S. .California Institute of
Technology, 1984; Ph.D., 1988.
- Professor, Physics
-Affiliate Professor, Engineering:
Electrical & Computer Engineering
Antman, Stuart S. Regular Member
B.S., Rensselaer Polytechnic Institute,
1961; M.S. .University of Minnesota-Twin
Cities, 1963; Ph.D., 1965.
- Associate Chair, Mathematics
- Distinguished University Professor,
Applied Mathematics & Statistics, and
Scientific Computation
- Distinguished University Professor,
Mathematics
Antonsen, Thomas M., Jr. Regular
Member
B.S., Cornell University, 1973; M.S., 1976;
Ph.D., 1977.
- Professor, Engineering: Electrical &
Computer Engineering
- Professor, Physics
Applebaum, Ian Regular Member
B.S., Rensselaer Polytechnic Institute,
1997, Ph.D., Massachusetts Institute of
Technology, 2003
- Affiliate Assistant Professor,
Engineering: Electrical & Computer
Engineering
Aranda-Espinoza, Helim Regular
Member
B.S. - University of Zacatecas, Mexico
1990. M.S. - University of San Luis Potosi,
Mexico 1993. Ph.D. - University of San
Luis Potosi, Mexico 1998.
- Assistant Professor, Neuroscience and
Cognitive Science
-Assistant Professor, Engineering:
Bioengineering
- Affiliate Assistant Professor,
Engineering: Materials Science and
Engineering
Araneda, Ricardo Regular Member
B.S., University of Concepcion, 1986;
M.S., Albert Einstein College of Medicine,
1992; Ph.D., Albert Einstein College of
Medicine, 1997.
533
- Assistant Professor, Neuroscience and
Cognitive Science
- Assistant Professor, Biological Sciences
Arbaugh, William Regular Member
Ph.D., University of Pennsylvania, 1999.
- Associate Professor, Advanced
Computer Studies, Institute for
- Associate Professor, Computer Science
Arkin, Phil Adjunct Member
M.S., University of Maryland, 1 975; Ph.D.,
University of Maryland, 1984.
- Senior Research Scientist, Atmospheric
and Oceanic Science
Armbruster, Peter Special Member
B.A. Univ. of California at San Diego
1989; Ph.D. Univ. of Oregon, 1997.
- DEFAULT, Enviromental Science and
Technology
Armstrong, Earlene Regular
Member
B.S., North Carolina Central University,
1969 M.S., 1970; Ph.D., Cornell
University, 1975.
- Associate Professor, Entomology
Armstrong, Ronald W. Regular
Member
B.E.S., Johns Hopkins University,1955;
M.Sc, Carnegie-Mellon University, 1957;
Ph.D., 1958.
- Professor Emeritus, Engineering:
Mechanical Engineering
Arnold, Conrad N. Regular Member
B.S., West Virginia University, 1971; M.S.,
1986.
- Director, Extension Service Dorchester
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service
Dorchester
Arnold, Elizabeth Regular Member
B.A. Oberlin College, 1981; M.A.
University of Chicago, 1984; Ph.D., 1991;
M.F.A. Warren Wilson MFA Program for
Writers.
- Acting Director, English Language and
Literature
- Associate Professor, Creative Writing
- Associate Professor, English Language
and Literature
Arouba, Boragan Regular Member
B.A., Bogazici University,1999; A.M., Univ.
of Pennsylvania, 2002; Ph.D., Univ. of
Pennsylvania, 2004.
- Associate Professor, Economics
Arsenault, Richard J. Regular
Member
B.S., Michigan Technological
University, 1957; Ph.D., Northwestern
University, 1962.
- Professor, Materials and Nuclear
Engineering
- Professor Emeritus, Materials and
Nuclear Engineering
Ashizawa, Izumi Regular Member
B.A., University of the Sacred Heart of
Japan; M.F.A., Yale University School of
Drama
- Assistant Professor, Theatre
Ater, Renee Regular Member
B.A., Oberlin College, 1987; M.A.,
University of Maryland, College Park,
1993; Ph.D. .University of Maryland,
College Park, 2000.
- Associate Professor, Art History and
Archaeology
Auchard, John Regular Member
B.A., New York University, 1970; M.A.,
University of Michigan-Ann Arbor, 1971 ;
Ph.D., University of North Carolina-Chapel
Hill, 1980.
- Professor, English Language and
Literature
Auerbach, Jonathan D. Regular
Member
B.A., University of California-Santa Cruz,
1976 M.A., Johns Hopkins University,
1978; Ph.D., 1984.
- Professor, English Language and
Literature
Aung, Win Adjunct Member
M.S., University of Minnesota-Twin Cities,
1966; Ph.D., 1969.
- Division Director, Mechanical &
Structural Systems, NSF
Auslander, Joseph Regular Member
B.S., Massachusetts Institute of
Technology, 1952; M.S., University of
Pennsylvania, 1953; Ph.D., 1957.
- Professor Emeritus, Mathematics
Austin, Mark A. Regular Member
B.E., University of Canterbury, 1980; M.S.
University of California-Berkeley, 1982;
Ph.D., 1985.
- Director, Engineering: Systems
Engineering
- Associate Professor, Engineering: Civil
and Environmental Engineering
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Associate Professor, Engineering:
Systems Engineering
Ausubel, Lawrence M. Regular
Member
A.B., Princeton University, 1980;
M.S. .Stanford University, 1982; M.L.S.,
1984; Ph.D., 1984.
- Professor, Economics
Avramov, Doron Regular Member
B.A., Hebrew University of Jerusalem,
1991; M.A., David Yellin School of
Education, 1995; M.S., University of
Pennsylvania, 1998; Ph.D., University of
Pennsylvania, 2000.
- Assistant Professor, Business and
Management
Aydilek, Ahmet H. Regular Member
B.S., Istanbul Technical University, 1993;
M.S. University of Wisconsin-Madison,
1996; Ph.D., University of Wisconsin-
Madison, 2000.
- Assistant Professor, Engineering: Civil
and Environmental Engineering
Ayyub, Bilal Regular Member
B.S., Kuwait University, 1980; M.S.,
Georgia Institute of Technology, 1981 ;
Ph.D., 1983.
- Professor, Engineering: Reliability
Engineering
Ayyub, Bilal M. Regular Member
B.S., Kuwait University, 1980; M.S.,
Georgia Institute of Technology, 1981 ;
Ph.D., 1983.
- Professor, Engineering: Civil and
Environmental Engineering
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Azarm, Shapour Regular Member
B.S., University of Tehran, 1977; M.S.,
George Washington University, 1979;
Ph.D., University of Michigan-Ann Arbor,
1984.
- Professor, Engineering: Mechanical
Engineering
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Babuska, Ivo M. Regular Member
Dipl. Ing., Technical University of Prague,
1949; Ph.D., 1951; Ph.D. .Czechoslovak
Academy of Sciences, 1955; D.Sc, 1960.
- Distinguished University Professor
Emeritus, Mathematics
Baden, Andrew R. Regular Member
B.A., University of Wisconsin-Madison,
1975; B.A., San Francisco State
University, 1981; Ph.D., University of
California-Berkeley, 1986.
- Chair, Physics
- Professor, Physics
Baecher, Gregory B. Regular
Member
B.S., University of California-Berkeley,
1968; M.S., Massachusetts Institute of
Technology, 1970; Ph.D., 1972.
- Professor, Engineering: Civil and
Environmental Engineering
Baeder, James D. Regular Member
B.S., Rice University, 1983; M.S., Stanford
University, 1984; Ph.D., 1989.
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
-Associate Professor, Engineering:
Aerospace Engineering
Baer, Ferdinand Regular Member
B.A., University of Chicago, 1950; M.S.,
1954;Ph.D.,1961.
- Professor Emeritus, Atmospheric and
Oceanic Science
Bagwell, Drury G., Jr. Adjunct
Member
B.S., University of Tennessee-Knoxville,
1964; M.S., 1968; J. D., 1970.
- Assistant Vice President, Student Affairs
Bailey, Joseph P. Regular Member
B.S., Carnegie-Mellon University, 1992;
M.S., Stanford University, 1993; Ph.D.,
Massachusetts Institute of Technology,
1998.
- Research Associate Professor, Business
and Management
Bakshi, Gurdip S. Regular Member
B.EIect.E., Punjab University, 1985; M.S.,
University of Wisconsin-Madison, 1989;
Ph.D., 1992.
- Professor, Business and Management
Balachandran, Balakumar Regular
Member
B.Tech., Indian Institute of Technology-
Madras, 1985; M.S., Virginia Polytechnic
Institute & State University, 1986; Ph.D.,
1990.
- Chair, Engineering: Mechanical
Engineering
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Engineering: Mechanical
Engineering
- Professor, Engineering: Professional
Master of Engineering
Balan, Radu Regular Member
B.S., Polytechnic Institute of Bucharest,
Romania 1992 B.S., University of
Bucharest, Romania 1994 Ph.D.,
Princeton University, 1998.
- Professor, Mathematics
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Balci, Yilmaz Regular Member
B.S., University of Istanbul; M.S.
University of Istanbul; Ph.D. University of
534
Natural Resources and Applied Life
Sciences (Austria)
- Assistant Professor, Plant Science
Baldwin, Andrew H. Regular
Member
B.S., Tufts University, 1983; B.S., 1983;
Ph.D., Louisiana State University-Baton
Rouge, 1996.
- Associate Professor, Biological
Sciences
- Associate Professor, Enviromental
Science and Technology
Baldwin, Ransom Adjunct Member
B.S., University of Califomia.Davis, 1987;
M.S., University of California.Davis, 1988;
Ph.D., Rutgers University, 1992.
- DEFAULT, Animal Sciences
Balge, Russell J. Regular Member
B.S., University of Wisconsin-La Crosse,
1963; M.S., 1966; Ph.D., University of
Delaware, 1 974.
- Principal Agent, CES - Western
Maryland Resource and Education Center
- Principal Agent, Cooperative Extension
Service
Ball, Michael O. Regular Member
B.E.S., Johns Hopkins University, 1972;
M.S.E., 1972; Ph.D., Cornell University,
1977.
- Associate Dean, Business and
Management
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Business and Management
- Professor, Engineering: Systems
Engineering
Ballou, Jon Adjunct Member
B.A. University of Virginia, 1977; M.S.
George Washington University, 1985;
Ph.D. University of Maryland, 1995.
- Adjunct Professor, Biological Sciences
Balthrop, Carmen A. Regular
Member
B.Mus., University of Maryland-College
Park, 1971; M.Mus., Catholic University of
America, 1972.
- Professor, Music
Banisky, Sandy Regular Member
B.A., Boston University; J.D., University of
Baltimore
- Visiting Professor, Journalism
Banks, Antoine J. Regular Member
B.A., Hunter College, 2001 ; Ph.D.,
University of Michigan, 2009.
- Assistant Professor, Government and
Politics
Bar-Cohen, Avram Regular Member
Ph.D., Massachusetts Institute of
Technology, 1971
- Professor, Engineering: Mechanical
Engineering
Baras, John S. Regular Member
B.S., National Technical University of
Athens, 1970; S.M., Harvard University,
1971; Ph.D., 1973.
- Director, Center for Satellite and Hybrid
Communication Networks
- Professor, Systems Research, Institute
for
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Engineering: Systems
Engineering
- Professor, Engineering: Electrical &
Computer Engineering
- Affiliate Professor, Engineering:
Bioengineering
- Affiliate Professor, Computer Science
Barbe, David F. Regular Member
B.S., West Virginia University, 1962;
M.S. ,1964; Ph.D., Johns Hopkins
University, 1969.
- Associate Director, Engineering
Research Center
- Professor, Engineering Research Center
- Professor, Engineering: Electrical &
Computer Engineering
Barbosa, Pedro Regular Member
B.S.,City University of New York-City
College, 1966; M.S. .University of
Massachusetts-Amherst, 1969; Ph.D.,
1971.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor, Biological Sciences
- Professor Emeritus, Entomology
Barg, Alexander Regular Member
Ph.D. .Moscow Russia,1 983-1 987;M.Sc,
Moscow Russia,1 976-1 981
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Engineering: Systems
Engineering
- Professor, Engineering: Electrical &
Computer Engineering
- Affiliate Professor, Computer Science
Barker, Donald Regular Member
Ph.D., University of California (Los
Angeles) 1976
- Professor, Engineering: Reliability
Engineering
Barker, Donald B. Regular Member
B.S.M.E., University of Washington, 1969;
M.S., 1971; Ph.D., University of California-
Los Angeles, 1976.
- Professor, Engineering: Mechanical
Engineering
Barkley Brown, Elsa Regular
Member
B.A.,DePauw University, 1972; Ph.D.,
Kent State University, 1 994.
- Associate Professor, Women's Studies
- Associate Professor, History
- Affiliate Associate Professor, American
Studies
Barlow, Diane L. Adjunct Member
B.S., Auburn University, 1963; M.L.S.,
University of Maryland-College Park,
1976; Ph.D., 1989.
- Assistant to the Dean, Information
Management
- Assistant to the Dean, Information
Studies
- Assistant to the Dean, Library Science
Barlow, Jewel B. Regular Member
B.Sc, Auburn University, 1963;M.S.,
1964; Ph.D., University of Toronto, 1970.
- Director, Glenn L. Martin Wind Tunnel
- Associate Professor, Glenn L. Martin
Wind Tunnel
- Associate Professor, Engineering:
Aerospace Engineering
Barnett, Constance M. Regular
Member
M.S., St. Joseph's College, 1970;
M.S.,Towson University, 1977.
- Senior Agent, Extension Service
Dorchester
- Senior Agent, Cooperative Extension
Service
Barnett, Neal M. Regular Member
B.S., Purdue University, 1959; Ph.D.,
Duke University, 1966.
- Associate Professor, Plant Biology
Barry, Jackson G. Regular Member
B.A., Yale University, 1950; M.A.,
Columbia University,1951; Ph.D., Case
Western Reserve University, 1963.
- Professor Emeritus, English Language
and Literature
Bartol, Kathryn M. Regular Member
B.A., Marygrove College, 1963;
M.A., University of Michigan-Ann Arbor,
1966; Ph.D., Michigan State University,
1972
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Business and Management
Barua, Rajeev K. Regular Member
B.S., Indian Institute of Technology-
Delhi, 1992; M.S., Massachusetts Institute
of Technology, 1994; Ph.D., 2000.
-Associate Professor, Engineering:
Systems Engineering
-Associate Professor, Engineering:
Electrical & Computer Engineering
- Associate Professor, Systems Research,
Institute for
- Affiliate Associate Professor, Computer
Science
Basili, Victor R. Regular Member
B.S., Fordham University, 1961; M.S.,
Syracuse University, 1963; Ph.D.,
University of Texas-Austin, 1970.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor Emerita, Advanced Computer
Studies, Institute for
- Professor Emerita, Computer Science
Battle, Ann Arlene Adjunct Member
B.S.N., University of Maryland at
Baltimore, 1975; M.S., University of
Maryland-College Park, 1992; Ph.D.,
1998.
-Assistant Director, Education: Human
Development
Bauer, Ralph Regular Member
B.A., University of Erlangen-Nurnberg,
1991; M.A., Michigan State University,
1993; Ph.D., 1997.
-Associate Professor, English Language
and Literature
Baum, Howell S. Regular Member
B.A., University of California-Berkeley,
1967; M.A., University of Pennsylvania,
1968; M.C.P., University of California-
Berkeley, 1971; Ph.D., 1974.
- Professor, Urban and Regional Planning
and Design
- Professor, Urban Studies and Planning
Baum, J. Robert Regular Member
B.S., Lehigh University, 1964; M.B.A.,
Northwestern University, 1966; Ph.D.,
University of Maryland-College Park,
1994.
- Associate Professor, Business and
Management
Baz, Amr M. Regular Member
B.S., University of Cairo, 1966;
M.S. .University of Wisconsin-Madison,
1970; Ph.D., 1973.
- Professor, Engineering: Mechanical
Engineering
Bean, George A. Regular Member
B.S., Cornell University, 1958;
M.S. .University of Minnesota-Twin Cities,
1960; Ph.D., 1963.
- Professor, Food Science
Beasley, Maurine Regular Member
B.A., University of Missouri-Columbia,
1958; B.J., 1958; M.S., Columbia
University,1963; Ph.D., George
535
Washington University, 1974.
- Professor Emerita, Journalism
Beauchamp, Virginia W. Regular
Member
B.A., University of Michigan-Ann
Arbor,1942; M.A., 1948; Ph.D., University
of Chicago, 1955.
- Associate Professor Emerita, English
Language and Literature
Bechhoefer, William B. Regular
Member
A.B., Harvard College, 1963; M.Arch.,
Harvard Graduate School of Design,
1967.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emeritus, Architecture
Beck, Evelyn T. Regular Member
B.A., Brooklyn College, 1954; M.A., Yale
University, 1955; Ph.D. .University of
Wisconsin-Madison, 1969.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emerita, Women's Studies
Beck, Kenneth H. Regular Member
B.S., Pennsylvania State University-
University Park, 1972; M.A., Syracuse
University, 1975; Ph.D., 1977.
- Professor, Public Health: Behavioral and
Community Health Ph.D.
- Professor, Public Health: Master of
Public Health-Community Health
Education
Beckett, Dorothy Regular Member
B.A., Barnard College, 1980;Ph.D.,
University of Illinois-Urbana/Champaign,
1986.
- Professor, Chemistry and Biochemistry
- Professor, Biological Sciences
- Professor, Biophysics
- Professor, Chemistry and Biochemistry
- Professor, Biochemistry
Beckman, Paula J. Regular Member
B.A., Hastings College, 1974;
M.A., University of Nebraska at Omaha,
1977; Ph.D. .University of North Carolina-
Chapel Hill, 1980.
- Professor, Education: Special Education
Bedaque, Paulo Regular Member
B.S., Universidade de Sao Paulo, 1985;
M.S., Universidade de Sao Paulo, 1989;
Ph.D., University of Rochester, 1994;
- Assistant Professor, Physics
Bederson, Benjamin B. Regular
Member
B.S., Rensselaer Polytechnic Institute,
1986; M.S., New York University, 1989;
Ph.D., 1992.
- Associate Professor, Computer Science
- Assistant Professor, Advanced
Computer Studies, Institute for
Beicken, Peter U. Regular Member
M.A., University of Munich, 1 968;
Ph.D. .Stanford University, 1971.
- Professor, German Literature and
Language
- Graduate Director, German Literature
and Language
Beier, Jonathan Regular Member
CV: B.S., Symbolic Systems, Stanford
University Ph.D., Developmental
Psychology, Harvard University
- Assistant Professor, Psychology
Beise, Elizabeth J. Regular Member
B.A., Carleton College, 1981; Ph.D.,
Massachusetts Institute of Technology,
1988.
- Professor, Physics
Belas, M. Robert Regular Member
B.A., University of Connecticut-Storrs,
1975; Ph.D., University of Maryland-
College Park, 1981.
- Associate Professor, Marine-Estuarine-
Environmental Sciences
Bell, Matthew J. Regular Member
B.Arch., University of Notre Dame, 1983;
M.Arch., Cornell University, 1987.
- Professor, Architecture
- Associate Professor, Urban and
Regional Planning and Design
Bell, Michael R. Regular Member
B.S.,California State University-Fresno,
1980; M.S. .University of Illinois-
Urbana/Champaign, 1983.
- Agent, Extension Service Carroll
- Agent, Cooperative Extension Service
Bell, Richard J. Regular Member
Ph.D., Harvard University, 2006
- Assistant Professor, History
Bell, Roger A. Regular Member
B.S., University of Melbourne, 1957;
Ph.D., Australian National University,
1961; Ph.D., (honoris causa), Uppsala
University, 1982
- Professor Emeritus, Astronomy
Bellama. Jon M. Regular Member
B.S., Allegheny College, 1960;
Ph.D. .University of Pennsylvania, 1965.
- Professor Emeritus, Chemistry
Bely, Alexa Regular Member
Ph.D., SUNY Stony Brook, 1999
- Assistant Professor, Biological Sciences
Belz, Herman J. Regular Member
B.A., Princeton University, 1959;
M.A., University of Washington, 1963;
Ph.D., 1966.
- Professor Emeritus, History
Benedetto, John J. Regular Member
B.A., Boston College, 1960; M.A., Harvard
University, 1962; Ph.D., University of
Toronto, 1964.
- Director, Mathemetics of Advanced
Industrial Technology
- Distinguished Scholar-Teacher, Applied
Mathematics & Statistics, and Scientific
Computation
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Mathematics
Benharrech, Sarah Regular Member
Ph.D., Princeton University, 2002
- Assistant Professor, French Language
and Literature
- Assistant Professor, Modern French
Studies
Benito-Vessels, Carmen Regular
Member
B.A., University of Salamanca-Spain,
1977; M.A., 1977; Ph.D.,University of
California-Santa Barbara, 1988.
- Professor, Spanish and Portuguese
Languages and Literatures
Bennett, Ralph D., Jr. Regular
Member
B.A., Princeton University, 1961; M.F.A.,
1966.
- Professor Emeritus, Architecture
Bennett, Robert L. Regular Member
B.A., University of Texas, 1951; M.A.,
University of Texas, 1955; Ph.D.,
University of Texas, 1963.
- Professor Emeritus, Economics
Bennett, Stanley W. Regular
Member
B.A., Iowa State University, 1959; M.A.,
State University of Iowa, 1961 ; Ph.D.,
University of Michigan-Ann Arbor, 1970.
- Associate Professor Emeritus,
Education: Human Development
Benson, Spencer A. Regular
Member
B.A., University of Vermont, 1973; Ph.D.,
University of Chicago, 1978.
- Associate Professor, Biological
Sciences
- Affiliate Associate Professor, Education:
Curriculum and Instruction
Bentley, William E. Regular Member
B.S., Cornell University, 1982; M.Eng.,
1983; Ph.D. .University of Colorado-
Boulder, 1989.
- Chair, Engineering: Bioengineering
- Distinguished University Professor,
Engineering: Bioengineering
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Affiliate Professor, Biological Sciences
- Affiliate Professor, Biological Sciences
-Affiliate Professor, Engineering:
Chemical Engineering
Bequette, Brian J. Regular Member
B.S., University of Illinois, 1983; M.S.,
Southern Illinois University, 1986; Ph.D.,
University of Missouri, 1990.
- Assistant Professor, Animal Sciences
Berbery, Ernesto H Adjunct Member
M.S., University of Buenos Aires, 1976;
S.C.D., 1987.
- Research Professor, Atmospheric and
Oceanic Science
Berdahl, Robert O. Regular Member
B.A., University of California-Los Angeles,
1949; M.A., University of California-
Berkeley, 1954; M.Sc, London School of
Economics & Political Science, 1957;
Ph.D., University of California-Berkeley, 1
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emeritus, Education: Policy
and Leadership
Berenstein, Carlos A. Regular
Member
Licenciado En Matematicas, University of
Buenos Aires, 1966; M.S., New York
University, 1969; Ph.D., 1970.
- Professor, Systems Research, Institute
for
- Professor Emeritus, Mathematics
Berg, Kenneth R. Regular Member
B.S., University of Minnesota-Twin Cities,
1960; Ph.D., 1967.
- Associate Professor Emeritus,
Mathematics
Bergbreiter, Sarah Regular Member
B.S.E., Princeton University,
1999,M.S., University of
California, Berkeley, 2004,Ph.D.,
University of California, Berkeley, 2007
-Assistant Professor, Engineering:
Mechanical Engineering
Bergbreiter, Sarah E. Regular
Member
B.E., Princeton University, 1999; M.S.,
University of California-Berkeley, 2004;
Ph.D., University of California, 2007
-Assistant Professor, Engineering:
Systems Engineering
Berger, Bruce S. Regular Member
B.S., University of Pennsylvania, 1954;
M.S., 1959; Ph.D., 1962.
- Professor Emeritus, Engineering:
Mechanical Engineering
536
Bergmann, Barbara Ft. Regular
Member
B.A., Cornell University, 1948;
M.A., Harvard University, 1955; Ph.D.,
1959.
- Professor Emerita, Economics
Berlin, Adele Regular Member
B.A., University of Pennsylvania, 1964;
Ph.D., 1976.
- Professor Emerita, Comparative
Literature
- Professor Emerita, Jewish Studies
- Professor Emerita, English Language
and Literature
- Professor Emerita, Jewish Studies
Berlin, Ira Regular Member
B.S., University of Wisconsin-
Madison,1963; M.A., 1966; Ph.D., 1970.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Distinguished University Professor,
History
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Affiliate Professor, American Studies
Berman, Louise M. Regular Member
A.B., Wheaton College, 1950; M.A.,
Columbia University, 1953; Ed.D., 1960.
- Professor Emerita, Education: Policy and
Leadership
Bernard, Peter S. Regular Member
B.E., City University of New York-City
College, 1972; M.S. .University of
California-Berkeley, 1973; Ph.D., 1977.
- Professor, Engineering: Mechanical
Engineering
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Bernstein, Joseph B. Regular
Member
B.S., Union College, 1984; M.S.,
Massachusetts Institute of Technology,
1986; Ph.D., 1990.
- Assistant Professor, Materials and
Nuclear Engineering
- Affiliate Associate Professor,
Engineering: Electrical & Computer
Engineering
Bertot, John Regular Member
- Professor, Library Science
- Professor, Library Science
- Professor, Human-Computer Interaction
- Professor, Information Studies
Betancourt, Roger R. Regular
Member
B.A., Georgetown University, 1965;
Ph.D. .University of Wisconsin-Madison,
1969.
- Professor Emeritus, Economics
Bhagat, Satindar M. Regular
Member
I.Sc, Punjab University, 1948;
B.A.,Jammu and Kashmir University,
1950; M.Sc, University of Delhi, 1953;
Ph.D., 1956.
- Professor, Physics
Bhattacharjee, Samrat Regular
Member
B.S., Georgia College, 1994;
Ph.D. .Georgia Institute of Technology,
1999.
- Associate Professor, Computer Science
- Affiliate Associate Professor,
Engineering: Electrical & Computer
Engineering
Bhattacharyya, Shuvra S. Regular
Member
B.S., University of Wisconsin-Madison,
1987; Ph.D. .University of California-
Berkeley, 1994.
- Professor, Engineering: Electrical &
Computer Engineering
- Assistant Professor, Advanced
Computer Studies, Institute for
- Affiliate Professor, Computer Science
Bi, Hongsheng Regular Member
Ph.D., Louisiana State University, 2005
- Assistant Professor, Marine-Estuarine-
Environmental Sciences
Bianchi, Suzanne M. Regular
Member
B.A.,Creighton University, 1973; M.A.,
University of Notre Dame, 1974; Ph.D.,
University of Michigan-Ann Arbor, 1978.
- Professor Emerita, Sociology
Biehal, Gabriel J. Regular Member
B.A., McGill University, 1966; M.B.A.,
1969; Ph.D., Stanford University, 1978.
- Associate Professor, Business and
Management
Bigio, David I. Regular Member
B.S., Case Western Reserve University,
1971; M.S., Massachusetts Institute of
Technology, 1976; Eng.D., 1978; Ph.D.,
1986.
Director of Undergraduate Studies
- Associate Professor, Engineering:
Mechanical Engineering
Billingsley, Andrew Regular
Member
A.B., Grinnell College, 1951; M.S., Boston
University, 1956; M.A., University of
Michigan-Ann Arbor, 1960;
Ph.D.,Brandeis University, 1964.
- Professor Emeritus, Family Science
Binford, Gregory D. Special Member
B.S. Clemson University, 1986; M.S. Iowa
State Univ., 1988; Ph.D. 1991.
- DEFAULT, Enviromental Science and
Technology
Bingham, Christopher B. Regular
Member
B.S., 1995; M.A., M.B.A., 2000, Brigham
Young University
- Lecturer, Business and Management
Birkner, Francis B. Regular Member
B.S., Newark College of Engineering,
1 961 ; M.S.E., University of Florida,
1962;Ph.D., 1965.
- Professor Emeritus, Engineering: Civil
and Environmental Engineering
Birnbaum, Robert Regular Member
B.A., University of Rochester, 1958; M.A.,
Columbia University-Teachers College,
1964; Ed.D., 1967.
- Professor Emeritus, Education: Policy
and Leadership
Birnir, Johanna Regular Member
Ph.D., UCLA, 2001
- Associate Professor, Government and
Politics
Black, Cordell W. Regular Member
B.A., St. Augustine's College, 1965;
M.A.,Wayne State University, 1967; Ph.D.,
University of Michigan-Ann Arbor, 1977.
- Associate Provost, Academic Affairs
- Associate Professor, Academic Affairs
- Associate Professor, Modern French
Studies
- Associate Professor, French Language
and Literature
Blackistone, Kevin Regular Member
B.S., Northwestern University; M.A.
Boston University
- Visiting Professor, Journalism
Blanchard, Jack J. Regular Member
B.S., Arizona State University, 1984;
Ph.D.,SUNY-Stony Brook, 1991.
- Professor, Psychology
Blankenship, Gilmer L. Regular
Member
B.S., Massachusetts Institute of
Technology, 1967; M.S., 1969; Ph.D.,
1971.
-Associate Chair, Engineering: Electrical
& Computer Engineering
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Engineering: Electrical &
Computer Engineering
Bleam, Tonia Regular Member
B.A., Central College, Iowa, 1991; M.A.,
University of Delaware, 1994; Ph.D.,
University of Delaware, 1999.
- Lecturer, Linguistics
Blessington, Thomas M. Regular
Member
Ph.D., University of Maryland-College
Park, 1977.
- Professor, CES - Central Maryland
Resource and Education Center
- Professor, Cooperative Extension
Service
Block, Ira Regular Member
B.S., University of Maryland-College Park,
1963; Ph.D., 1971.
- Associate Professor, Materials and
Nuclear Engineering
Blough, Neil V. Regular Member
B.S., University of Pittsburgh, 1977; Ph.D.,
Northwestern University, 1983.
- Professor, Chemistry
Bockstael, Nancy E. Regular
Member
A.B., Connecticut College, 1971 ; M.A.,
Brown University, 1973; Ph.D., University
of Rhode Island, 1976.
- Professor Emerita, Agricultural and
Resource Economics
Bodin, Lawrence D. Regular
Member
B.S., Northeastern University, 1962; M.S.,
University of California-Berkeley,
1966;Ph.D., 1967.
- Professor Emeritus, Business and
Management
Boekeloo, Bradley O. Regular
Member
B.A., Kalamazoo College, 1981;
S.C. M.Johns Hopkins University, 1985;
Ph.D., 1989.
- Professor, Public Health: Behavioral and
Community Health Ph.D.
- Professor, Public Health: Master of
Public Health-Community Health
Education
Boesch, Donald F. Regular Member
B.S., Tulane University, 1967; Ph.D.,
College of William & Mary, 1971.
- Professor, Marine-Estuarine-
Environmental Sciences
Bohlke, John K. Adjunct Member
B.A., University of Michigan-Ann Arbor,
1973; M.S., University of Miami, 1978;
Ph.D., University of California-Berkeley,
1986.
- Adjunct Professor, Geology
Boicourt, William C. Regular
Member
B.A., Amherst College, 1966 M.A., The
537
Johns Hopkins University, 1969; Ph.D.,
The Johns Hopkins University, 1973
- Professor, Marine-Estuarine-
Environmental Sciences
Bolatto, Alberto D. Regular Member
M.A., Boston University, 1996: Ph.D.,
Boston University, 2000.
- Assistant Professor, Astronomy
Boldt, Elihu A. Regular Member
B.S., MIT, 1953; Ph.D., MIT, 1958;
- Adjunct Professor, Physics
Bolger, Donald J. Regular Member
B.A., University of Massachusetts, 1998;
M.S., University of Pittsburgh, 2002;
Ph.D., 2006.
- Assistant Professor, Education: Human
Development
- Assistant Professor, Neuroscience and
Cognitive Science
Bolles, A. Lynn Regular Member
A.B., Syracuse University, 1971 ; M.A.,
Rutgers University-New Brunswick, 1978;
Ph.D., 1981.
- Professor, Women's Studies
- Affiliate Professor, Anthropology
- Affiliate Professor, American Studies
Booth, Nancy M. Regular Member
B.S., Seton Hall University, 1971 ; M.A.,
Michigan State University, 1973; Ph.D.,
University of Maryland-College Park,
1979.
- Associate Professor, Governmental
Service, Institute for
- Associate Professor, Cooperative
Extension Service
Borgia, Gerald Regular Member
A.B., University of California-Berkeley,
1970; M.S., University of Michigan-Ann
Arbor, 1973; Ph.D., 1978.
- Professor, Neuroscience and Cognitive
Science
- Professor, Biological Sciences
Borrut, Antoine Regular Member
PhD, La Sorbonne, 2007
- Assistant Professor, History
Bosnians, Raymond V. Regular
Member
B.A., University of Maryland-College Park,
1973; M.S., 1983.
- Principal Agent, CES - Home and
Garden Information Center
- Principal Agent, Cooperative Extension
Service
Bossis, loannis Regular Member
BSc-MSc Agricultural Engineering,
Agricultural University of Athens (Greece),
1992; Ph.D Animal Breeding and
Reproduction, Oklahoma State University,
1997. Dr. Bossis's research focuses on
the mechanisms of autophagosome
biogenesis and the construction of CTL
vaccine platforms based on polyionic
virus-like particles.
- Assistant Professor, Animal Sciences
- Assistant Professor, Veterinary Medical
Sciences
Bottrell, Dale Regular Member
B.S., Oklahoma State University-
Stillwater, 1963; Ph.D., 1968.
- Professor Emeritus, Entomology
Bovill, Carl H. Regular Member
B.S., University of California-Santa
Barbara, 1969; M.S. .University of
California-Berkeley, 1970;
M.Arch., University of Hawaii at Manoa,
1976.
- Associate Professor, Architecture
- Associate Professor, Urban and
Regional Planning and Design
Bowden, Mary L. Adjunct Member
B.A., Cornell University, 1978; M.S.,
Massachusetts Institute of Technology,
1981; Sc.D., 1988.
- Visiting Assistant Professor,
Engineering: Aerospace Engineering
Bowerman, William W. Regular
Member
B.A. Western Michigan University, 1985
M.A. Northern Michigan University, 1991
Ph.D. Michigan State University, 1993
- Chair, Enviromental Science and
Technology
Bowman, Debra L. Regular Member
B.S., University of Maryland-College Park,
1973; M.Ed., Towson University, 1976;
Ph.D., University of Maryland-College
Park, 1994.
- Principal Agent, 4-H Youth Development
- Principal Agent, Extension Service
Baltimore County
- Principal Agent, Cooperative Extension
Service
Boyd, Alfred C, Jr. Regular Member
B.S., Canisius College, 1 951 ; M.S.,
Purdue University, 1953; Ph.D., 1957.
- Professor Emeritus, Chemistry
Boyd, Derek A. Regular Member
B.Sc, University of Capetown, 1964;
B.Sc, 1965; M.Sc, 1967; Ph.D., Stevens
Institute of Technology, 1973.
- Professor, Physics
Boyd, Henry C. Regular Member
B.A., Princeton University, 1986; M.B.A.,
University of California, Berkeley, 1988;
Ph.D., Duke University, 1996; L.L.D.,
University of Wisconsin-Madison, 2005.
- Lecturer, Business and Management
Boyd, Vivian S. Regular Member
B.A., Antioch College, 1961 ;
M.A., University of Colorado-Boulder,
1968; M.Ed., University of Maryland,
1971; Ph.D., University of Maryland-
College Park, 1975.
- Director, Counseling Center
- Professor Emeritus, Education:
Counseling and Personnel Services
Boyd-Graber, Jordan Regular
Member
B.S., California Institute of Technology,
2004; M.A., Princeton University, 2006;
Ph.D., Princeton University, 2009.
- Assistant Professor, Library Science
- Assistant Professor, Information
Management
Boyle, Michael Regular Member
B.A., Psychology, Stanford University,
1974; B.S. Chemistry, University of
California, Berkeley, 1977; A.B.
Mathematics, University of California,
Berkeley, 1977; Ph.D. Mathematics,
University of Washington, Seattle,1983
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Boyle, Mike M. Regular Member
B.A., Stanford University, 1974; A.B.,
University of California-Berkeley, 1977;
Ph.D., University of Washington, 1983
- Professor, Mathematics
Boynton, Walter R. Regular Member
B.S., Springfield College, 1969; M.S.,
University of North Carolina-Chapel Hill,
1974; Ph.D., University of Florida,1975.
- Professor, Marine-Estuarine-
Environmental Sciences
Boyson, Sandor L. Adjunct Member
B.A., Antioch College, 1981 ; M.Phil.,
University of Sussex-Falmer, 1985;
Ph.D., 1990.
- Research Professor, Business and
Management
Bradbury, Miles L. Regular Member
A.B., Harvard University, 1960; A.M.,
1961;Ph.D., 1967.
- Assistant Professor, History
Bradley, Karen Kohn Regular
Member
MA, Dance, University of Oregon (1978),
CMA (Certified Movement Analyst in
Laban Movement Analysis, 1984), further
study in dance/movement therapy
- Associate Professor, Dance
- Graduate Director, Dance
Brami, Joseph Regular Member
B.A., University of Sorbonne-Nouvelle,
Paris, 1974; M.A., 1976; Ph.D., New York
University, 1984.
- Professor, Modern French Studies
- Professor, French Language and
Literature
Branner, David P. Regular Member
Ph.D., University of Washington, 1997.
- Assistant Professor, Asian and East
European Languages and Cultures
- Assistant Professor, Asian and East
European Languages and Cultures
Brannigan, Vincent M. Regular
Member
B.A., University of Maryland-College Park,
1973; J.D., Georgetown University, 1975.
- Professor, Engineering: Fire Protection
Engineering
- Professor Emeritus, Engineering: Fire
Protection Engineering
Brantinger, Andrew Regular
Member
B.A., Macalester College, 1991; M.Ed.,
University of Illinois-Chicago, 1997; Ph.D.,
Northwestern University, 2007.
-Assistant Professor, Education:
Curriculum and Instruction
Braun, Bonnie Regular Member
B.S., Central Missouri State University,
1 968; M.S., 1 971 ; Ph.D., University of
Missouri-Columbia, 1979.
- Professor, Public Health: Maternal and
Child Health Ph.D.
- Professor, Family Science
- Professor, Family & Consumer Sciences
Braun, Michael Adjunct Member
- Adjunct Professor, Biological Sciences
Brauth, Steven E. Regular Member
B.S., Rensselaer Polytechnic Institute,
1967; Ph.D., New York University, 1973.
- Professor, Psychology
- Professor, Neuroscience and Cognitive
Science
Bravo, Hector Corrada Regular
Member
- Assistant Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Brechling, Frank P. Regular Member
B.A., University of Freiburg, 1951; Ph.D.,
Trinity College, 1955.
- Professor Emeritus, Economics
Brecht, Richard D. Regular Member
B.A., Pennsylvania State University-
University Park, 1965; M.A., Harvard
University, 1969; Ph.D., 1972.
538
- Director, College of Arts and Humanities
- Professor, Asian and East European
Languages and Cultures
- Professor, Russian Language and
Literature
- Professor, College of Arts and
Humanities
- Affiliate Professor, Second Language
Acquisition-Ph.D.
Breitburg, Denise Adjunct Member
- Adjunct Professor, Biological Sciences
Breuer, Herbert F. Adjunct Member
Diploma, University of Heidelberg, 1974
Ph.D., 1976.
- Associate Research Scientist, Physics
Brewer, Carmen Adjunct Member
B.A., Rutgers University-New Brunswick,
1972; M.A., University of Maryland-College
Park, 1974; Ph.D., 1981.
- Lecturer, Clinical Audiology
- Lecturer, Hearing and Speech Sciences
Briber, Robert M. Regular Member
B.S., Cornell University, 1979; M.S.,
University of Massachusetts-Amherst,
1981; Ph.D., 1984.
- Chair, Engineering: Materials Science
and Engineering
- Professor, Biophysics
- Professor, Chemical Physics
Bridwell, Margaret W. Adjunct
Member
B.S., Tulane University, 1943;
M.D., Louisiana State University Medical
School, 1946.
- Director, Health Center
Brighton, Stephen Regular Member
B.A., Montclair State, 1992; Ph.D., Boston
University, 2005
- Assistant Professor, Anthropology
Briken, Volker Regular Member
Ph.D., University of Paris (France), 1998
- Assistant Professor, Biological Sciences
Brill, Dieter R. Regular Member
B.A., Princeton University, 1954; Ph.D..
1959.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Physics
Brin, Michael I. Regular Member
B.A., Moscow M.V. Lomonosov State
University, 1970;Ph.D., Kharkov State
University, 1975.
- Professor, Mathematics
Brinsfield, Donna V. Regular
Member
B.S., University of Maryland-College Park,
1977 M.S., 1993.
- Director, Extension Service Caroline
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service
Caroline
Brinsfield, Russell B. Adjunct
Member
B.S., University of Maryland-College Park,
1971; M.S., 1973; Ph.D., 1981.
- Research Associate, Wye Research and
Education Center
Briscoe, Barbara M. Regular
Member
B.S., Morgan State University, 1975; M.S.,
Coppin State College, 1987.
- Senior Agent, Extension Service
Baltimore City
- Senior Agent, Cooperative Extension
Service
Broder, David S. Regular Member
B.A., University of Chicago, 1947; M.A.,
University of Chicago, 1951
- Professor Emeritus, Journalism
Brooks, Henry M. Regular Member
B.S., Tuskegee University, 1965; M.Ed.,
1966; Ph.D., Ohio State University-
Columbus, 1975.
- Associate Director, CES - UM Eastern
Shore
- Associate Professor, Cooperative
Extension Service
- Associate Professor, CES - UM Eastern
Shore
Brooks, Laure Weber Regular
Member
B.A., University of Maryland-College Park,
1980; M.A., 1982; Ph.D., 1986.
- Instructor, Criminology and Criminal
Justice
Brooks, Leon R. Regular Member
B.S., Alcorn State University-Lorman,
1973; M.Ed., Florida A&M University,
1978; Ph.D. .University of Maryland-
College Park, 1982.
- Director, Extension Service Prince
Georges
- Senior Agent, Extension Service Prince
Georges
- Senior Agent, Cooperative Extension
Service
Brosnan, Patrick Regular Member
Ph.D. University of Chicago, 1998
- Professor, Mathematics
Brower, Sidney Regular Member
B.Arch., University of Capetown,
1953;M.C.P., Massachusetts Institute of
Technology, 1964.
- Professor, Urban Studies and Planning
- Professor, Urban and Regional Planning
and Design
- Affiliate Professor, American Studies
Brown, Amy Regular Member
B.S., University of Florida, 1975;
M.S. .Michigan State University, 1980;
Ph.D., University of Maryland-College
Park, 1993.
- Professor, Entomology
Brown, Earl H. Regular Member
B.S., University of Minnesota, 1956; M.S.,
University of Minnesota, 1957; Ph.D.,
Michigan State University, 1961
- Professor Emeritus, Agricultural and
Resource Economics
Brown, Elizabeth Y. Adjunct Member
B.S., Kent State University, 1965; M.Ed.,
1967; Ed.D., University of Houston, 1973.
- Instructor, Kinesiology
Brown, John H. Regular Member
B.A., Princeton University, 1952; M.A.,
1957; Ph.D., 1959.
- Associate Professor Emeritus,
Philosophy
Brown, Manami J. Regular Member
B.S., Morgan State University, 1975; M.S.,
Johns Hopkins University, 1993.
- Agent, Extension Service Baltimore City
- Agent, Cooperative Extension Service
Brown, Michael Regular Member
B.A., University of Keele, 1969; Ph.D.,
1975.
- Professor, Geology
Brown, Robert A. Regular Member
B.A., University of Richmond, 1958; M.A.,
University of Iowa, 1961; Ph.D., 1962.
- Professor Emeritus, Psychology
Brubaker, Kaye L. Regular Member
B.A., Eastern Mennonite College, 1979;
B.S., University of Maryland-College
Park,1989; M.S., Massachusetts Institute
of Technology, 1991; Ph.D., 1995.
-Associate Professor, Engineering: Civil
and Environmental Engineering
Bruck, Hugh Alan Regular Member
B.S., University of South Carolina-
Columbia, 1988; M.S., 1989;
Ph.D. .California Institute of Technology,
1995.
GCEN Academic Advisor for Mechanical
Engineering
Director of Graduate Studies
- Professor, Engineering: Mechanical
Engineering
-Affiliate Professor, Engineering:
Bioengineering
- Affiliate Professor, Applied Mathematics
& Statistics, and Scientific Computation
Brush, Stephen G. Regular Member
A.B., Harvard University, 1955 D.Phil.,
Oxford University, 1958.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Distinguished University Professor
Emeritus, History
Brust, Gerald E. Regular Member
B.S., Ohio State University, 1980; M.S.,
Ohio State University, 1985; Ph.D., North
Carolina State University, 1989.
- Affiliate Professor, Entomology
Bryan, John L. Regular Member
B.S., Oklahoma State University-
Stillwater, 1953; M.S., 1954; Ed.D.,
American University, 1965.
- Professor Emeritus, Engineering: Fire
Protection Engineering
Bryan, Julia Regular Member
B.Sc, University of West Indies, Cave Hill
Campus, Barbados, 1985; M.Ed.,
University of Southern Mississippi, 1998;
Ph.D., University of Maryland, College
Park, 2003.
-Assistant Professor, Education:
Counseling and Personnel Services
Bryan, Philip N. Regular Member
B.A., University of Tennessee-Knoxville,
1975; Ph.D., University of Tennessee-Oak
Ridge, 1979.
- Professor, Engineering: Bioengineering
- Affiliate Professor, Biological Sciences
Bryant, Ray B. Special Member
B.S. Texas Tech Univ. 1973;, M.S. 1977;
Ph.D. Purdue Univ. 1981.
- DEFAULT, Enviromental Science and
Technology
Bryer, Jackson R. Regular Member
B.A., Amherst College, 1959; M.A.,
Columbia University,1960; Ph.D.,
University of Wisconsin-Madison, 1965.
- Professor Emeritus, English Language
and Literature
Bub, Jeffrey Regular Member
B.Sc, University of Capetown, 1961;
B.Sc, 1962; Ph.D., University of London,
1966.
- Distinguished University Professor,
Philosophy
- Affiliate Professor, Second Language
Acquisition-Ph.D.
Buchanan, Robert L. Regular
Member
B.S., Rutgers University, 1969; M.S.,
Rutgers University, 1971; Ph.D., Rutgers
University, 1974
- Professor, Food Science
539
Buck, Audi a Regular Member
Bachelor of Journalism from the Univeristy
of Missouri-Columbia & MFA in Design
from Cranbrook Academy of Art
- Associate Professor, Art Studio
- DEFAULT, Art Studio
Bulmash, Gary F. Regular Member
B.S., 1966, University of Maryland-College
Park; M.B.A., 1968; D.B.A., 1974.
- Lecturer, Business and Management
Bunting, Michael Adjunct Member
- Assistant Research Scientist, Second
Language Acquisition-Ph.D.
Buonanno, Alessandra Regular
Member
B.S., University of Pisa, Italy, 1993; Ph.D.,
University of Pisa, Italy, 1996;
- Assistant Professor, Physics
Burk, Amy L. Regular Member
B.S., James Madison University, 1995;
M.S., Virginia Polytechnic Institute and
State University, 1998; Ph.D., Virginia
Polytechnic Institute and State University,
2001.
- Assistant Professor, Animal Sciences
Burke, Philip J. Regular Member
B.S., University of Scranton, 1963;M.S.,
1965; Ph.D., Syracuse University, 1970.
- Professor, Education: Special Education
Busalacchi, Antonio J. Regular
Member
B.S., Florida State University, 1977; M.S.,
Florida State University, 1980; Ph.D.,
Florida State University, 1982
- Director, Atmospheric and Oceanic
Science
- Professor, Atmospheric and Oceanic
Science
- Affiliate Professor, Geology
Bushrui, Suheil B. Adjunct Member
B.A., University of Alexandria, 1 954;
Ph.D., University of Southampton, 1962.
- Professor Emeritus, Anthropology
- Research Professor, College of
Behavioral and Social Sciences
Butler, James Regular Member
- Assistant Professor, Public Health:
Behavioral and Community Health Ph.D.
- Assistant Professor, Public Health:
Master of Public Health-Community
Health Education
Butterworth, Charles E. Regular
Member
B.A., Michigan State University, 1959;
Doct, University of Nancy-France,
1961 ;M.A., University of Chicago, 1962;
Ph.D., 1966.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emeritus, Government and
Politics
Butts, Daniel Regular Member
B.A., Oberlin College, 1994; Ph.D.,
University of California Berkeley, 2000.
- Assistant Professor, Neuroscience and
Cognitive Science
- Assistant Professor, Biological Sciences
Bynum, Mia Smith Regular Member
B.A., University of North Carolina at
Chapel Hill, 1993; M.A., University of
Virginia, 1996; Ph.D., University of
Virginia, 1999.
- Associate Professor, Family Science
- Associate Professor, Public Health:
Maternal and Child Health Ph.D.
Cable, John Hart Adjunct Member
B.Arch.,Clemson University, 1967;
M.Arch., Catholic University of America,
1970.
- Senior Research Engineer, Engineering:
Civil and Environmental Engineering
Cabrera, Alberto Regular Member
B.S., National University of Mexico, 1979;
M.S., University of Wisconsin-Madison,
1982; Ph.D., University of Wisconsin-
Madison, 1987.
- Professor, Higher Education and
International Education
Cabrera, Natasha J. Regular
Member
B.Sc. University of Toronto, 1985; M.A.,
1989; Ph.D, University of Denver, 1995.
- Associate Professor, Education: Human
Development
Cadou, Christopher Regular
Member
B.S., Cornell University, 1989; M.S.,
University of California - Los Angeles,
1991 ; Ph.D., California State University -
Los Angeles, 1996
- Associate Professor, Engineering:
Aerospace Engineering
Cai, Deborah A. Regular Member
B.A., University of Michigan 1983; M.A.,
Trinity Evangelical Divinity School, 1991 ;
Ph.D., Michigan State University, 1994.
- Affiliate Associate Professor, Second
Language Acquisition-Ph.D.
Cain, Jarvis L. Regular Member
B.S., Purdue University, 1955; M.S., Ohio
State University-Columbus, 1956; Ph.D.,
1961.
- Professor Emeritus, Agricultural and
Resource Economics
Calabrese, Richard V. Regular
Member
B.S., University of Rochester, 1969;
M.S. .University of Massachusetts-
Amherst, 1971; Ph.D., 1976.
- Professor, Chemical Physics
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Engineering: Chemical
Engineering
- Affiliate Professor, Engineering:
Bioengineering
Callahan, Christopher A. Adjunct
Member
B.S., Boston University, 1982;
M.P.A., Harvard University-JFK School of
Government, 1990.
- Associate Dean, College of Journalism
Cameron, Maria Regular Member
Ph.D., University of California, Berkeley,
2007.
- Assistant Professor, Mathematics
Campangne, Herve
Thomas Regular Member
B.A., Universite Francois Rabelais, Tours,
France, 1984; M.A., Rutgers University-
New Brunswick, 1989; Ph.D., 1992.
- Chair, Modern French Studies
- Chair, French Language and Literature
- Associate Professor, French Language
and Literature
- Associate Professor, Modern French
Studies
Campbell, Andrew Adjunct Member
B.S., California Institute of Technology,
1988; Ph.D., University of Chicago, 1993.
- Adjunct Associate Professor, Geology
Campbell, Elwood G. Regular
Member
B.A., Northeast Missouri State College,
1949; M.A., Northwestern University,
1952; Ph.D., 1963.
- Professor Emeritus, Education:
Curriculum and Instruction
Campbell, Patricia F. Regular
Member
B.S., College of Saint Francis, 1970; M.S.,
Michigan State University, 1972;
Ph.D. .Florida State University, 1976.
-Associate Professor, Education:
Curriculum and Instruction
Candela, Philip A. Regular Member
B.S., City University of New York-Brooklyn
College, 1977; Ph.D., Harvard University,
1982.
- Professor, Geology
Caneque, Alejandro Regular
Member
PhD, New York University, 1999
- Assistant Professor, History
Canty, Timothy Adjunct Member
BA, Physics, Hartwick College, 1994 MS,
Physics, New Mexico Institute of Mining
and Technology, 2000 PhD, Physics, New
Mexico Institute of Mining and
Technology, 2002
- Assistant Research Scientist,
Atmospheric and Oceanic Science
Capuco, Anthony V. Adjunct
Member
B.A., Hobart College, 1970; Ph.D., Cornell
University, 1977.
- DEFAULT, Animal Sciences
Caramello, Charles A. Regular
Member
B.A., Wesleyan University, 1970; M.A.,
University of Wisconsin-Milwaukee, 1973;
Ph.D., 1978.
- Professor, English Language and
Literature
- Affiliate Professor, American Studies
Carbone, Robert F. Regular Member
B.A., Eastern Montana College, 1953;
M.S., Emory University, 1958;
Ph.D. .University of Chicago, 1961.
- Professor Emeritus, Education: Policy
and Leadership
Carignan, Craig Adjunct Member
B.S., Massachusetts Institute of
Technology, 1981; M.S., 1982; Sc.D.,
1987.
- Research Associate, Engineering:
Aerospace Engineering
Carla Maffeo Regular Member
B.A., Sociology (1969), M.A. Sociology-
Research Methods (1971), Ph.D. Medical
Sociology (1981), Catholic University
- DEFAULT, Survey Methodology
Carleton, Karen Regular Member
B.S., Yale University, 1980; Ph.D.,
University of Colorado, 1987
- Assistant Professor, Neuroscience and
Cognitive Science
- Assistant Professor, Biological Sciences
Carlson, Richard Special Member
B.A., University of California, San Diego,
1976; Ph.D., University of California, San
Diego, 1980.
- DEFAULT, Geology
Carlson, Thomas A. Regular
Member
B.S. (Cum Laude), University of
Minnesota, Twin Cities, 1998; Ph.D.;
University of Minnesota, 2004
540
- Assistant Professor, Neuroscience and
Cognitive Science
- Assistant Professor, Psychology
Carpenter, Faedra Regular Member
B.A., Spelman College, 1992; M.A.
Washington University, 1994; Ph.D.,
Stanford University, 2005.
- Assistant Professor, Theatre
- Affiliate Assistant Professor, Women's
Studies
Carr, Catherine E. Regular Member
B.Sc, University of Capetown, 1976;
M.A., State University of New York-Buffalo,
1977; Ph.D., University of California-San
Diego, 1984.
- Professor, Neuroscience and Cognitive
Science
- Professor, Biological Sciences
Carretta, Vincent Regular Member
B.A., State University of New York-
Binghamton, 1968; M.A., 1971; Ph.D.,
University of Iowa, 1977.
- Professor, English Language and
Literature
Carroll, Mark J. Regular Member
B.S., California Polytechnic State
University, 1979; M.S., Michigan State
University, 1982; Ph.D., Cornell
University, 1989.
- Associate Professor, Plant Science
Carroll, Stephen J., Jr. Regular
Member
B.S., University of California-Los Angeles,
1957; M.A., University of Minnesota, 1959;
Ph.D., University of Minnesota, 1964
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emeritus, Business and
Management
Carruthers, Peter Regular Member
B.A., University of Leeds, 1975; M.Phil.,
University of Leeds, 1977; D.Phil.,
University of Oxford, 1979
- Professor, Neuroscience and Cognitive
Science
- Professor, Philosophy
- Affiliate Professor, Second Language
Acquisition-Ph.D.
Carter, Bruce Regular Member
B.A., Virginia Polytechnic Institute; M.M.,
Peabody Conservatory; Ph.D,
Northwestern University
- Assistant Professor, Music
Carter, Everett C. Regular Member
B.S., Virginia Polytechnic Institute, 1958;
M.Eng., University of California-Berkeley,
1959; Ph.D., Northwestern University,
1969.
- Professor Emeritus, Engineering: Civil
and Environmental Engineering
Carter-Pokras, Olivia Regular
Member
M.H.S., Johns Hopkins University
Bloomberg School of Public Health; Ph.D.,
Johns Hopkins University Bloomberg
School of Public Health.
- Associate Professor, Public Health:
Epidemiology Ph.D.
- Associate Professor, Public Health:
Master of Public Health-Epidemiology
- Associate Professor, Public Health:
Master of Public Health-Biostatistics
Carton, James A. Regular Member
B.S.E., Princeton University, 1976;
M.S. .University of Washington, 1 979;
M.A., Princeton University, 1980; Ph.D.,
1983.
- Chair, Atmospheric and Oceanic Science
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Chemical Physics
Cartwright, Kent Regular Member
B.A., University of Michigan-Ann Arbor,
1965; M.A., 1968;Ph.D., Case Western
Reserve University, 1979.
- Chair, English Language and Literature
- Professor, English Language and
Literature
Casey Dawkins Regular Member
Ph.D. Georgia Tech
- DEFAULT, Urban and Regional Planning
and Design
Casey, Maud Regular Member
B.A., Wesleyan University, 1991 ; M.F.A.,
University of Arizona, 1995.
- Associate Director, English Language
and Literature
- Associate Professor, Creative Writing
- Associate Professor, English Language
and Literature
Casey, Maxine E. Regular Member
B.S., University of Missouri-Columbia,
1961; M.S., Hood College, 1980.
- Senior Agent, Cooperative Extension
Service
Cassidy, Jude Anne Regular
Member
B.A., Duke University, 1973;
M.A., University of Virginia, 1983; Ph.D.,
1986.
- Professor, Neuroscience and Cognitive
Science
- Professor, Psychology
Castonguay, Thomas W. Regular
Member
B.A., Framingham State College, 1973;
M.A., Mount Holyoke College, 1975;
Ph.D., Rutgers State University, 1978.
- Professor, Neuroscience and Cognitive
Science
- Professor, Biological Sciences
- Professor, Nutrition
Castro, Mark S. Regular Member
B.A., Lawrence University, 1979; M.S.,
Florida Inst of Technology-Melbourne,
1984; Ph.D., University of Virginia, 1991.
- Associate Professor, Marine-Estuarine-
Environmental Sciences
Caughey, John L. Regular Member
B.A., Harvard University, 1963; M.A.,
University of Pennsylvania, 1967; Ph.D.,
1970.
- Professor, American Studies
- Affiliate Professor, Anthropology
Celi, Roberto Regular Member
Laurea.Politecnico Di Torino-Italy, 1980;
M.S., University of California-Los Angeles,
1982; Ph.D., 1987.
- Professor, Engineering: Aerospace
Engineering
Cerrai, Sandra Regular Member
M.Sc. University of Pisa, 1992 Ph.D.,
Scuola Normale Superiore of Pisa, 1998.
- Associate Professor, Mathematics
- Associate Professor, Mathematical
Statistics
Chadha, Kalyani Regular Member
B.A., Delhi University, 1988; M.A.,
Jawaharal Nehru University, 1990; Ph.D.,
University of Maryland, 1999.
- Assistant Professor, Journalism
Chambers, Erve Regular Member
B.A., Western Washington University,
1969; M.A., University of Oregon, 1972;
Ph.D., 1973.
- Professor, Anthropology
- Affiliate Professor, American Studies
Chambers, Robert G. Regular
Member
B.S.F.S., Georgetown University, 1972;
M.S., University of Maryland-College Park,
1975;Ph.D., University of California-
Berkeley, 1979.
- Professor, Agricultural and Resource
Economics
Chambliss, Marilyn J. Regular
Member
B.A., University of California-Berkeley,
1964; B.A., San Jose State University,
1982 Ph.D., Stanford University, 1990.
-Associate Professor Emerita, Education:
Curriculum and Instruction
Chander, Suresh Adjunct Member
B.S., Banaras Hindu University,1964;
M.S., Indian Institute of Science-
Bangalore, 1966; M.S., University of
Maryland-College Park, 1971; Ph.D.,
1975.
- Structural Analyst, Control Data
Corporation
Chang, Caren Regular Member
B.A., University of California-Berkeley,
1982; Ph.D. .California Institute of
Technology, 1988.
- Associate Professor, Biological
Sciences
- Affiliate Associate Professor, Plant
Science
Chang, Chia-Cheh Regular Member
B.S., Tunghai University, 1961;
M.A., University of Southern California-Los
Angeles, 1966; Ph.D., 1968.
- Professor Emeritus, Physics
- Senior Research Scientist, Physics
Chang, Chung-Yun Regular Member
B.S., National Taiwan University, 1954;
Ph.D., Columbia University, 1965.
- Professor Emeritus, Physics
- Senior Research Scientist, Physics
Chang, Gang-Len Regular Member
B.E., National Cheng Kung University-
Taiwan, 1975; M.S., National Chiao Tung
University-Hsinchu, 1979; Ph.D.,
University of Texas-Austin, 1985.
- Professor, Engineering: Civil and
Environmental Engineering
Chang, Peter C. Regular Member
B.S., Texas A&M University-College
Station, 1975; M.S. .University of lllinois-
Urbana/Champaign, 1979; Ph.D., 1982.
-Associate Professor, Engineering: Civil
and Environmental Engineering
Chanse, Victoria Regular Member
B.A. in Biology, Oberlin College, 1993;
M.C.P. University of California at
Berkeley, 2000; Ph.D. University of
California at Berkeley, 2007
- Assistant Professor, Landscape
Architecture
- Assistant Professor, Plant Science
Chant, Nicholas S. Regular Member
B.A., Downing College-Cambridge
University, 1962; M.A., 1966; Ph.D.,
Lincoln College-Oxford University, 1966.
- Professor, Physics
Chao, John C. Regular Member
B.S., University of Pennsylvania, 1987;
Ph.D., Yale University, 1994.
- Associate Professor, Economics
Chao, Shenn-Yu Regular Member
B.S., Tsinghua University (Taiwan), 1 971 ;
M.S., University of Utah, 1975;Ph.D.,
541
North Carolina State University, 1979.
- Professor, Marine-Estuarine-
Environmental Sciences
Chappelle, Emmett Adjunct Member
B.S., University of California-Berkeley,
1950; M.S., University of Washington,
1954.
- Physical Scientist, Laboratory for
Terrestrial Physics, NASA/Goddard
Chateauvert, Melinda Regular
Member
B.A., University of Massachusetts-
Amherst,1984; M.A., George Washington
University, 1986; Ph.D., University of
Pennsylvania, 1992.
- Instructor, Afro-American Studies
Chatterjee, Monita Regular Member
B.E.E. Jadavpur University, 1987; Ph.D.,
Syracuse University, 1994
- Associate Professor, Hearing and
Speech Sciences
- Assistant Professor, Clinical Audiology
- Assistant Professor, Neuroscience and
Cognitive Science
Chaudhuri, Anirban Regular
Member
- Assistant Research Scientist,
Engineering: Aerospace Engineering
Chaverri, Priscila Regular Member
B.S., Instituto Tecnologica de Costa Rica,
1993; Ph.D. Pennsylvania State
University, 2005.
- Assistant Professor, Plant Science
- Affiliate Professor, Biological Sciences
Chazan, Daniel Regular Member
A.B., M.A., Brandeis University, 1981;
M.A., Harvard Graduate School, 1982;
M.A., Worcester Polytechnic, 1984; M.A.,
Ed.D., Harvard Graduate School of
Education, 1989;
- Associate Professor, Education:
Curriculum and Instruction
Chellappa, Ramalingam Regular
Member
B.E., University of Madras, 1975; M.S.,
Indian Institute of Science-Bangalore,
1977; M.S.E.E., Purdue University, 1978;
Ph.D., 1981.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor, Engineering: Electrical &
Computer Engineering
- Professor, Advanced Computer Studies,
Institute for
- Professor, Chemical Physics
- Affiliate Professor, Computer Science
Chellappa, Ramalingam Regular
Member
B.E., University of Madras, 1975 M.E.,
Indian Inst, of Science, 1977
M.S.E.E., Purdue University, 1978 Ph.D.,
Purdue University, 1981
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Chen, Alexander Regular Member
B.A., New York University,1973; M.U.P.,
1976; Ph.D., University of Michigan-Ann
Arbor, 1981.
- Associate Professor, Urban and
Regional Planning and Design
- Associate Professor, Urban Studies and
Planning
Chen, Feinian Regular Member
B.A., Georgia College, 1994; M.A.,
Mississippi State University, 1996; Ph.D.,
University of North Carolina at Chapel Hill,
2001.
- Associate Professor, Sociology
Chen, Feng Regular Member
B.S., The Ocean University of Qingdao,
1985; M.S., The First Institute of
Oceanography, 1988; Ph.D., The
University of Texas at Austin, 1995
- Associate Professor, Marine-Estuarine-
Environmental Sciences
Chen, Hsing-Hen Regular Member
B.S., National Taiwan University, 1968;
M.A., Columbia University, 1970; Ph.D.,
1973.
- Professor, Physics
Chen, Mark Regular Member
B.A., Rice University, 1994; M.A., Ph.D.,
Harvard University, 2000.
- Assistant Professor, Business and
Management
Chen, Yu Regular Member
Position: Assistant Professor CV: B.S.,
Peking University, 1997; M.S.E.,
University of Pennsylvania, 2001 ; Ph.D,
University of Pennsylvania, 2003
- Assistant Professor, Engineering:
Bioengineering
- Affiliate Assistant Professor,
Engineering: Electrical & Computer
Engineering
Chen, Zhi-Long Regular Member
B.S., Fudan University, 1988; M.S., Fudan
University, 1991; M.A., Princeton
University, 1995; Ph.D., Princeton
University, 1997.
- Associate Professor, Business and
Management
Cheng, Wen-Hsing Regular Member
B.S., National Taiwan University,1993;
M.S., Cornell University, 1997; Ph.D.,
Cornell University, 2001 .
- Assistant Professor, Nutrition
Chepurin, Gennady Adjunct Member
- Assistant Research Scientist,
Atmospheric and Oceanic Science
Chernela, Janet Regular Member
PH.D. Anthropology, Columbia University,
1983
- Professor, Anthropology
- Affiliate Professor, Women's Studies
- Affiliate Professor, Biological Sciences
Cherniak, Christopher Regular
Member
B.A., Harvard University, 1966; M.A.,
University of California-Berkeley, 1971 ;
B.Litt, University of Oxford, 1973;Ph.D.,
University of California-Berkeley, 1977.
- Professor Emeritus, Philosophy
Chico, Tita Regular Member
A.B., Vassar College, 1 991 ; M.A., New
York University, 1994; Ph.D., 1998.
- Associate Professor, English Language
and Literature
- Affiliate Associate Professor, Women's
Studies
Childs-Sanford, Sara E. Adjunct
Member
B.A., Hamilton College, 1995; M.A.,
University of Maryland College Park,
2005; D.V.M., Cornell University, 1999.
- DEFAULT, Animal Sciences
Chin, Eva Regular Member
B.H.K., University of Windsor, 1985;
M.Sc, McMaster University, 1987; B.Ed.,
Queens University, 1988; Ph.D.,
University of Waterloo, 1 993
- Assistant Professor, Kinesiology
Chin, Tsung Regular Member
B.A., Taiwan Normal University, 1953;
M.S., Georgetown University, 1967;
Ph.D.,1971.
- Associate Professor, Asian and East
European Languages and Cultures
- Associate Professor, Asian and East
European Languages and Cultures
Chinoy, Ira Regular Member
A.B., Harvard College; Ph.D., University of
Maryland, 2010
- Associate Professor, Journalism
Choi, Kyu Yong Regular Member
B.S., Seoul National University, 1976;
M.S. ,1978; Ph.D., University of Wisconsin-
Madison, 1984.
- Professor, Engineering: Chemical
Engineering
Choi, Young-Tai Regular Member
- Assistant Research Scientist,
Engineering: Aerospace Engineering
Chopra, Inderjit Regular Member
B.Sc, Punjab Engineering College-
Chandigarh, India, 1965; M.Eng., Indian
Institute of Science-Bangalore, 1968;
Sc.D., Massachusetts Institute of
Technology, 1977.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor, Engineering: Aerospace
Engineering
Chopra, Nikhil Regular Member
Bachelor of Technology (Honors),
Mechanical Engineering, Indian Institute of
Technology, Kharagpur, India, 2001;
Ph.D., Systems and Entrepreneurial
Engineering, University of Illinois at
Urbana-Champaign, 2006.
-Assistant Professor, Engineering:
Mechanical Engineering
-Assistant Professor, Engineering:
Systems Engineering
ChristOU, AristOS Regular Member
B.A., Columbia University, 1967; Ph.D.,
University of Pennsylvania, 1971.
- Professor, Engineering: Materials
Science and Engineering
- Professor, Engineering: Reliability
Engineering
- Professor, Engineering: Mechanical
Engineering
Chronis-Tuscano, Andrea
M. Regular Member
B.A., Loyola University Chicago, 1993;
M.A., State University of New York at
Buffalo, 1998; Ph.D., State University of
New York at Buffalo, 2002.
- Associate Professor, Psychology
Chu, Yaohan Regular Member
B.S., Chiao-Tung University-China, 1942;
M.S., Massachusetts Institute of
Technology, 1945; Sc.D., 1953.
- Professor Emeritus, Computer Science
Chugh, Sanjay K. Regular Member
S.B. Massachusetts Institute of
Technology, 1997; Ph.D., University of
Pennsylvania, 2004.
- Assistant Professor, Economics
Chuh, Kandice Regular Member
B.A., Colgate University, 1989;
M.A., University of Washington, 1993;
Ph.D., 1996.
- Affiliate Associate Professor, American
Studies
Chung, J. Sook Regular Member
B.S., Pusan National University, 1979;
542
M.S., Seoul National University, 1981;
Ph.D., Texas ASM University, 1991
- Assistant Professor, Marine-Estuarine-
Environmental Sciences
Chung, Wilbur C. Regular Member
B.S., Carnegie Mellon University, 1996;
M.B.A., Carnegie Mellon University, 1990;
Ph.D., University of Michigan, 1999.
- Assistant Professor, Business and
Management
Cibulka, James G. Regular Member
B.A., Harvard University, 1966; Ph.D.,
University of Chicago, 1973.
- Associate Dean, College of Education
- Professor, College of Education
Cichello. Michael S. Regular
Member
B.A., Tufts University, 1990; M.A., 1994,
Ph.D., 2000, Michigan State University.
- Lecturer, Business and Management
Cirillo, Cinzia Regular Member
M.S., University of Naples-Italy, 1994.
Ph.D., University of Torino, 1998.
- Assistant Professor, Engineering: Civil
and Environmental Engineering
Cirrincione, Joseph M. Regular
Member
B.S., State University of New York-College
at Oswego, 1962; M.A.,City University of
New York-Brooklyn College, 1965;
M.A.,Ohio State University-Columbus,
1967; Ph.D., 1970.
- Associate Professor Emeritus,
Geography
Clabaugh, Susan R. Adjunct
Member
B.S., Oklahoma State University, 1970;
M.S., 1975; Ed.D., 1977.
- Coordinator, Computer Science Center
- Coordinator, Office of Information
Technology, ADS Tech Enhanced
Learning
Clague, Christopher K. Regular
Member
B.A., Swarthmore College, 1960; Ph.D.,
Harvard University, 1966.
- Professor Emeritus, Economics
Clague, Monique W. Regular
Member
B.A., Swarthmore College, 1959; Ph.D.,
Harvard University, 1969.
- Professor Emerita, Education: Policy and
Leadership
Clark, Charles Adjunct Member
B.A., Western Washington University,
1974; Ph.D., University of Chicago, 1979.
- Adjunct Professor, Chemical Physics
Clark, Jane E. Regular Member
B.S., State University of New York-
College at Brockport, 1968;
M.Ed. .University of Washington, 1970;
Ph.D., University of Wisconsin-Madison,
1976.
- Professor, Neuroscience and Cognitive
Science
- Professor, Kinesiology
Clark, Lawrence Regular Member
B.A., Emory University, 1989; M.Ed.,
Emory University, 1998; Ph.D., Emory
University, 2004.
- Assistant Professor, Education:
Curriculum and Instruction
Clark, Pamela I. Regular Member
- Research Professor, Public Health:
Master of Public Health-Community
Health Education
- Research Professor, Public Health:
Behavioral and Community Health Ph.D.
Clarke, David H. Regular Member
B.S., Springfield College, 1952; M.S.,
1953;Ph.D., University of Oregon, 1959.
- Professor Emeritus, Kinesiology
Cleaveland II, W. Ranee Regular
Member
Ph.D., Cornell University, 1987.
- Professor, Institute for Advanced
Computer Studies (UMIACS)
- Professor, Computer Science
Cleaveland, W. Ranee Regular
Member
B.S., Duke University, 1982; M.S., Cornell
University, 1985; Ph.D., Cornell
University, 1987.
- Professor, Engineering: Systems
Engineering
Cleghorn, Reese Regular Member
B.A., Emory University, 1950;
M.A., Columbia University, 1956.
- Professor, College of Journalism
Clement, David L. Regular Member
B.A., Wittenberg University, 1978; M.S.,
Rutgers University-New Brunswick, 1980;
Ph.D., Purdue University, 1984.
- Senior Agent, CES - Home and Garden
Information Center
- Senior Agent, Cooperative Extension
Service
Clement, Linda M. Adjunct Member
B.A., State University of New York-
College at Oswego, 1971; M.A., Michigan
State University, 1973; Ph.D., University
of Maryland-College Park, 1981.
- Assistant Vice President, Undergraduate
Studies
- Director, Undergraduate Admissions
- Interim Chief of Staff, Office of the
President
- Affiliate Associate Professor, Education:
Counseling and Personnel Services
Clifton, Kelly J. Regular Member
B.S., West Virginia University, 1 990; M.S.,
University of Arizona, 1995; Ph.D.,
University of Texas at Austin, 2001 .
- Associate Professor, Engineering: Civil
and Environmental Engineering
Clignet, Remi Adjunct Member
B.P., University of Paris, 1948; L.L.B.,
1951;Ph.D., 1963.
- Professor Emeritus, Sociology
Coale, Frank J. Regular Member
B.S., University of Maryland-College Park,
1981; M.S., University of Kentucky-
Lexington, 1983; Ph.D., 1986.
- Professor, Enviromental Science and
Technology
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Codispoti, Louis A. Regular Member
B.S. Fordham University, 1962; M.S.
University of Washington, 1966; Ph.D.,
University of Washington, 1973
- Research Professor, Marine-Estuarine-
Environmental Sciences
Cody, George Special Member
B.S., University of Massachusetts, 1982;
Ph.D., The Pennsylvania State University,
1992.
- DEFAULT, Geology
Coffey, Janet E. Regular Member
B.A., Stanford University, 1992; Ph.D.,
Stanford University, School of Education,
2003
-Assistant Professor, Education:
Curriculum and Instruction
Cohan, Steven N. Special Member
B.S., Iowa State University, 1964; M.S.,
Pennsylvania State University, 1966;
Ph.D., 1969.
- Professor of Practice, Plant Science
Cohen, Avis H. Regular Member
B.S., University of Michigan-Ann Arbor,
1964; Ph.D., Cornell University, 1977.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Neuroscience and Cognitive
Science
- Professor, Engineering: Systems
Engineering
- Professor, Biological Sciences
- Associate Professor, Systems Research,
Institute for
- Associate Professor, Systems Research,
Institute for
-Affiliate Professor, Engineering:
Bioengineering
Cohen, H. Robert Regular Member
B.A., New York University, 1963; M.A.,
1967; Ph.D., 1973.
- Professor Emeritus, Music
Cohen, Helene Kalson Adjunct
Member
B.A. University of Michigan, 1975; M.Ed.
Lesley University,1978; Ed.D., Harvard
University, 1990
- DEFAULT, Higher Education and
International Education
Cohen, James R. Adjunct Member
B.A., University of Michigan-Ann
Arbor,1969; M.R.P., Cornell University,
1985; Ph.D., 1991.
- Acting Associate Dean, Urban and
Regional Planning and Design
- Director, Urban Studies and Planning
- Director, Urban Studies and Planning
- Lecturer, Urban and Regional Planning
and Design
- Lecturer, Urban Studies and Planning
Cohen, Joel M. Regular Member
Sc.B., Brown University, 1963;
Ph.D. .Massachusetts Institute of
Technology, 1966.
- Professor, Mathematics
Cohen, Thomas D. Regular Member
A.B., Harvard University, 1980; Ph.D.,
University of Pennsylvania, 1985.
- Distinguished Scholar-Teacher, Physics
Cohen, William A. Regular Member
B.A., Swarthmore College, 1985; Ph.D.,
University of California-Berkeley, 1993.
- Associate Chair, English Language and
Literature
- Professor, English Language and
Literature
Colantuono, Anthony Regular
Member
B.A., Rutgers University-New Brunswick,
1980; M.A., Johns Hopkins University,
1982; Ph.D., Johns Hopkins University,
1987.
- Associate Professor, Art History and
Archaeology
Coleman, Gary D. Regular Member
B.S., Colorado State University, 1978;
M.S., 1986; Ph.D., University of Nebraska-
Lincoln, 1989.
- Associate Professor, Plant Science
Coleman, Linda K. Regular Member
A.B., University of Michigan-Ann Arbor,
1973; M.A., 1973; Ph.D., University of
California-Berkeley, 1982.
543
- Associate Professor, Neuroscience and
Cognitive Science
- Associate Professor, English Language
and Literature
Coles, Kimberly Regular Member
B.A., Columbia, University, 1994;
M.A.,1996; M.Phil., Oxford University,
1998; D.Phil., 2003.
- Associate Professor, English Language
and Literature
Coles, Victoria J. Special Member
B.S., University of California at San Diego,
1991; Ph.D., University of Miami, 1998
- Research Assistant Professor, Marine-
Estuarine-Environmental Sciences
Coletti, Theresa M. Regular Member
B.A., University of Pittsburgh, 1971 ; M.A.,
University of Rochester, 1973;
Ph.D., 1975.
- Professor, English Language and
Literature
- Affiliate Professor, Women's Studies
Collier, Michael R. Regular Member
B.A., Connecticut College, 1976;
M.F.A., University of Arizona, 1979.
- Professor, English Language and
Literature
- Professor, Creative Writing
Collins, Allen Adjunct Member
B.A., Amherst College, 1987; Ph.D.
University of California Berkeley, 1999.
- Adjunct Professor, Biological Sciences
Collins, Merle Regular Member
B.A., University of the West Indies-Mona,
Jamaica, 1972;M.A., Georgetown
University, 1980; Ph.D. .London School of
Economics & Political Science, 1990.
- Professor, English Language and
Literature
- Professor, Comparative Literature
- Affiliate Professor, Women's Studies
Collins, Patricia Hill Regular
Member
B.A., Brandeis University, 1969; M.A.T.
Harvard University, 1970; Ph.D. Brandeis
University, 1984.
- Distinguished University Professor,
Sociology
- Affiliate Professor, Women's Studies
Colombini, Marco Regular Member
B.S., McGill University-Montreal, 1970;
Ph.D., 1974.
- Professor, Biophysics
- Professor, Biological Sciences
- Affiliate Professor, Engineering:
Bioengineering
Colville, James Regular Member
B.S., Purdue University, 1959; M.S., 1960;
Ph.D., University of Texas-Austin, 1970.
- Professor Emeritus, Engineering: Civil
and Environmental Engineering
Colwell, Rita Adjunct Member
- Affiliate Professor, Atmospheric and
Oceanic Science
Colwell, Rita R. Regular Member
B.S., Purdue University, 1956; M.S., 1958;
Ph.D., University of Washington, 1 961 .
- Distinguished University Professor,
Biological Sciences
Comizzoli, Pierre Adjunct Member
D.V.M., Veterinary College of Maisons-
Alfort, 1994; M.S., University of Paris VI,
1997; Ph.D., University of Tours, 2000.
- DEFAULT, Animal Sciences
Commer, Malcolm J., Jr. Regular
Member
B.A., University of Mississippi, 1970;
Ph.D. .Mississippi State University, 1989;
M.S., 1989.
- Associate Professor, Special Agriculture
Programs
- Associate Professor, CES - Central
Maryland Resource and Education Center
- Associate Professor, Cooperative
Extension Service
Connor, Erin E. Adjunct Member
B.S., University of Maryland College Park,
1989; M.S., Virginia Polytechnic Institute
and State University, 1993; Ph.D.,
University of Maryland College Park,
1999.
- DEFAULT, Animal Sciences
Conrad, David L. Regular Member
B.S., University of Maryland-College Park,
1974; M.S., 1985.
- Senior Agent, Cooperative Extension
Service
- Senior Agent, CES - Central Maryland
Resource and Education Center
Conrad, Frederick G. Regular
Member
B.A., Hampshire College, 1978; Ph.D.,
University of Chicago, 1986
- DEFAULT, Survey Methodology
Contreras-Vidal, Jose
Luis Regular Member
B.S., Inst of Tech & Higher Education of
Monte, 1987; M.S., University of
Colorado-Boulder, 1990; Ph.D., Boston
University, 1994.
- Associate Professor, Neuroscience and
Cognitive Science
- Associate Professor, Aging, Center on
- Associate Professor, Kinesiology
- Affiliate Assistant Professor,
Engineering: Bioengineering
Conway, Daniel Regular Member
B.S., State University of New York-
Brockport, 1978; M.F.A., George
Washington University, 1982.
-Area Chair, Theatre
- Associate Professor, Theatre
Coogan, Robert M. Regular Member
B.A., lona College, 1954; M.A., DePaul
University, 1958; Ph.D., Loyola University,
1967.
- Professor Emeritus, English Language
and Literature
Cooke, Todd J. Regular Member
B.S.,Antioch College, 1974; Ph.D., Cornell
University, 1979.
- Professor, Biological Sciences
- Affiliate Professor, Plant Science
Cooper, Jeffery M. Regular Member
B.A., Haverford College, 1962; M.S.,
University of Illinois-Urbana/Champaign,
1964; Ph.D., 1967.
- Professor Emeritus, Mathematics
Cooper, Lee Regular Member
B.A., University of California Santa Cruz,
1978; M.S., University of Washington,
1980; Ph.D., University of Alaska, 1987.
- Research Professor, Marine-Estuarine-
Environmental Sciences
Cooperman, Bernard Dov Regular
Member
B.A., University of Toronto, 1968; M.A.,
Brandeis University, 1969; M.A., Harvard
University, 1972; Ph.D., 1976.
- Associate Professor, Jewish Studies
- Associate Professor, History
Coplan, Michael A. Regular Member
B.A., Williams College, 1960; Ph.D., Yale
University, 1963.
- Director, Chemical Physics
- Professor, Physics
- Professor, Chemical Physics
Cornwell, Jeffrey C. Regular
Member
B.S., Hobart and William Smith Colleges,
1976; Ph.D. .University of Alaska-
Fairbanks, 1983. MEES
- Research Associate Professor, Marine-
Estuarine-Environmental Sciences
Corridon, Cassandra S. Regular
Member
B.S., University of Maryland-College Park,
1972 M.S., Hood College, 1982.
- Acting Director, Extension Service
Frederick
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service
Frederick
Corsi, Thomas M. Regular Member
B.A., Case Western Reserve University,
1971; M.A., Kent State University,
1974;Ph.D., University of Wisconsin,
1976.
- Professor, Business and Management
Corson, Mathew Scott Adjunct
Member
B.S., University of Missouri-Rolla, 1987;
M.S., University of Maryland-College Park,
1989; Ph.D., 1992.
- Associate Research Scientist, Systems
Research, Institute for
Cossa, Dominic F. Regular Member
B.S., University of Scranton, 1957;
M.A., University of Detroit/Mercy, 1960.
- Professor, Music
Cossentino, Jacqueline
M. Regular Member
B.A., Smith College, 1986; M.Ed., Harvard
University, 1991; Ed.D., 1999.
-Assistant Professor, Education: Policy
and Leadership
Costa, Jose M. Regular Member
B.S., National University of La Plata
(Argentina), 1978; M.S., Oregon State
University, 1989; Ph.D., 1990.
- Associate Professor, Plant Science
Costanza, Robert Regular Member
B.A., University of Florida, 1973;
M.A., 1974; Ph.D., 1979.
- Professor, College of Life Sciences
Cottrell, Elizabeth Adjunct Member
B.S., Brown University, 1997; Ph.D.,
Columbia University, 2004.
- Adjunct Associate Professor, Geology
Coughlin, Peter J. Regular Member
B.A., State University of New York-Albany,
1973; M.A., 1974; Ph.D., 1976.
- Associate Professor, Economics
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Couper, Mick P. Regular Member
B.Soc.Sc, Univerity of Cape Town, 1978;
M.A., University of Michigan, 1989; Ph.D.,
Rhodes University, 1990.
- Research Professor, Survey
Methodology
Courtney, Hugh Regular Member
B.A., Northwestern University, 1985;
Ph.D., Massachusetts Institute of
Technology, 1991
- Associate Dean, Business and
Management
- Lecturer, Business and Management
544
Coustaut, Carmen Regular Member
B.A., University of California, Los Angeles,
1971; Ed.M., Harvard University, 1972;
M.F.A., University of Southern California,
1982.
- Affiliate Associate Professor, Afro-
American Studies
Craig, Patrick M. Regular Member
B.F.A., Western Michigan University,
1974; M.F.A., University of Cincinnati,
1976.
- Associate Professor, Art Studio
Cramton, Peter Regular Member
B.S., Cornell University, 1980; Ph.D.,
Stanford University, 1984
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Affiliate Professor, Agricultural and
Resource Economics
Cramton, Peter C. Regular Member
B.S., Cornell University, 1980; Ph.D.,
Graduate School of Business-Stanford
University, 1984.
- Professor, Economics
Cregan, Perry B. Adjunct Member
B.A., Washington University, 1968; B.S.,
Oregon State University, 1972; M.S.,
North Dakota State University-Fargo,
1975; Ph.D., 1977.
- Adjunct Professor, Plant Science
Crocker, David A. Adjunct Member
B.A., DePauw University, 1959; M.Div,
Yale University, 1963; M.A., 1965;
Ph.D., 1970.
- Senior Research Scholar, Philosophy
and Public Policy, Institute for
Croco, Sarah E. Regular Member
B.A., University of Illinois; Ph.D.,
University of Michigan, 2008
- Assistant Professor, Government and
Politics
Cromwell, Larry E. Regular Member
B.S., University of Maryland-College Park,
1981 ;M.A., Hood College, 1987.
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service
Frederick
Croninger, Robert G. Regular
Member
B.A., Valparaiso University, 1973; M.A.,
College of William & Mary, 1976;Ph.D.,
University of Michigan-Ann Arbor, 1997.
- Associate Professor, Education: Policy
Studies
Cronrath, David Regular Member
B.Arch., Pennsylvania State University,
1971 ; M.Arch., University of California-
Berkeley, 1 976.
- Dean, Architecture
- Professor, Architecture
Cropper, Maureen L. Regular
Member
B.A., Bryn Mawr College, 1969; M.A.,
Cornell University, 1972; Ph.D., 1973.
- Distinguished University Professor,
Economics
- Affiliate Professor, Agricultural and
Resource Economics
Cross, Richard K. Regular Member
A.B., Princeton University, 1962;
M.A., Stanford University, 1966; Ph.D.,
1967.
- Professor, English Language and
Literature
Crump, Byron C. Regular Member
B.A., Oberlin College, 1990; M.S.
University of Washington, 1996; Ph.D.,
University of Washington, 1999
- Assistant Professor, Marine-Estuarine-
Environmental Sciences
Cukier, Michel Regular Member
Ph.D., National Polytechnic Institute of
Toulouse, France, 1996
- Associate Professor, Engineering;
Reliability Engineering
- Associate Professor, Engineering:
Systems Engineering
- Affiliate Associate Professor,
Engineering: Electrical & Computer
Engineering
- Affiliate Associate Professor, Computer
Science
- Affiliate Associate Professor,
Engineering: Mechanical Engineering
Culver, James N. Regular Member
B.S.,Oklahoma State University-Stillwater,
1985; M.S., Oklahoma State University,
1987; Ph.D., University of California-
Riverside, 1991.
- Professor, Plant Science
- Assistant Professor, Center for
Agricultural Biotechnology
- Adjunct Associate Professor,
Engineering: Bioengineering
- Adjunct Assistant Professor, Plant
Biology
- Affiliate Professor, Biological Sciences
Cumberland, John H. Regular
Member
B.A., University of Maryland, 1947 M.A.,
Harvard University, 1949 Ph.D., Harvard
University, 1951.
- Professor Emeritus, Economics
Cumings, John Regular Member
Ph.D. University of California, Berkeley
2002
- Assistant Professor, Chemical Physics
- Assistant Professor, Engineering:
Materials Science and Engineering
Cummings, Michael P. Regular
Member
Ph.D., Harvard, 1992.
- Associate Professor, Biological
Sciences
- Affiliate Associate Professor, Computer
Science
Cunniff, Patrick F. Regular Member
B.C.E., Manhattan College, 1955; M.S.,
Virginia Polytechnic Institute & State
University, 1956; Ph.D., 1962.
- Professor Emeritus, Engineering:
Mechanical Engineering
Cunningham, David Regular
Member
B.A., Wake Forest University, 1998; M.S.,
George Mason University, 2001; Ph.D.,
University of California, San Diego, 2006.
- Assistant Professor, Government and
Politics
Cunningham, Kathleen Regular
Member
B.A., University of California, San Diego,
1999; M.A., University of California, San
Diego, 2002; Ph.D., University of
California, San Diego, 2007.
- Assistant Professor, Government and
Politics
Currie, Douglas Regular Member
B.E.P., Cornell University, 1958;
Ph.D. .University of Rochester, 1962.
- Professor Emeritus, Physics
- Senior Research Scientist, Physics
Cypess, Sandra M. Regular Member
B.A., Brooklyn College, 1963; M.A.,
Cornell University, 1965; Ph.D., University
of Illinois-Urbana/Champaign, 1968.
- Professor, Spanish and Portuguese
Languages and Literatures
- Affiliate Professor, Women's Studies
Czaja, Wojciech Regular Member
M.Sc, University of Wroclaw, 1995; M.A.,
Washington University, 1997; Ph.D.,
Washington University, 2000.
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Associate Professor, Mathematics
D'Erasmo, Pablo N. Regular
Member
B.A., Universidad Argentina de la
Empresa, 1999; M.A., University of Texas
at Austin, 2005; Ph.D., University of Texas
at Austin, 2008.
- Assistant Professor, Economics
Dagenais, Mario Regular Member
B.Sc, Universite de Montreal, 1974; M.S.,
University of Rochester, 1976; Ph.D.,
1978.
- Professor, Chemical Physics
- Professor, Engineering: Electrical &
Computer Engineering
-Affiliate Professor, Engineering:
Bioengineering
Dager, Edward Z. Regular Member
A.B., Kent State University, 1950;
A.M., Ohio State University-Columbus,
1951; Ph.D., 1956.
- Professor Emeritus, Sociology
Dagher, Rada Regular Member
B.S., American University of Beirut, 1998;
MPH, American University of Beirut, 2000;
Ph.D., University of Minnesota, 2007.
-Assistant Professor, Public Health:
Master of Health Administration
-Assistant Professor, Public Health:
Health Services Ph.D.
Dallas, Walter Regular Member
B.A., Morehouse College, 1968; M.F.A.,
Directing, Yale University School of
Drama, 1971.
- Specialist, Theatre
Dally, James W. Regular Member
B.S.,Carnegie Institute of Technology,
1951; M.S., 1953; Ph.D., Illinois Institute
of Technology, 1958.
- President Emeritus, Engineering:
Mechanical Engineering
- Distinguished Scholar-Teacher,
Distinguished Faculty
Daly, M. Allan Regular Member
B.S., University of Utah, 1994; M.S., 1999.
- Agent, Extension Service Baltimore
County
- Agent, Cooperative Extension Service
Dancis, Jerome Regular Member
B.A., Polytechnic Institute of New York-
Brooklyn, 1961; M.S. .University of
Wisconsin-Madison, 1963; Ph.D., 1966.
- Associate Professor Emeritus,
Mathematics
Darcy, David P. Regular Member
B. Commerce, University of Dublin Trinity
College, 1989; M.S., University of Dublin
Trinity College, 1999; Ph.D., University of
Pittsburgh, 2001
-Assistant Professor, Business and
Management
Darden, Lindley Regular Member
B.A., Rhodes College, 1968; A.M.,
University of Chicago, 1969; S.M., 1972;
Ph.D., 1974.
- Distinguished Scholar-Teacher,
545
Philosophy
- Professor, Biological Sciences
- Affiliate Professor, Biological Sciences
Dardis, Rachel Regular Member
B.S., Saint Mary's College-Dublin, 1949;
M.S., University of Minnesota-Twin Cities,
1963; Ph.D., 1965.
- Professor Emerita, Economics
Das Sarma, Sankar Regular Member
B.S., Presidency College-Calcutta, 1973
Sc.M., Brown University, 1976; Ph.D.,
1979.
- Director, Condensed Matter Theory
Center
- Distinguished University Professor,
Physics
- Distinguished Faculty Research Fellow,
Distinguished Faculty
Dasgupta, Abhijit Regular Member
Ph.D., University of Illinois, 1989
- Professor, Engineering: Reliability
Engineering
- Professor, Engineering: Mechanical
Engineering
DasSarma, Shiladitya Regular
Member
B.S. Indiana University, 1979; Ph.D.,
Massachusetts Institute of Technology,
1984
- Professor, Marine-Estuarine-
Environmental Sciences
Daughters, Stacey B. Regular
Member
B.S., UMCP, 1998; M.A., UMCP, 2003;
Ph.D., UMCP, 2005.
- Assistant Professor, Public Health:
Behavioral and Community Health Ph.D.
- Assistant Professor, Public Health:
Master of Public Health-Community
Health Education
- Assistant Professor, Neuroscience and
Cognitive Science
Daughtry, Craig ST. Adjunct
Member
B.S., University of Georgia, 1972; M.S.,
1974; Ph.D., Purdue University-West
Lafayette, 1976.
- Adjunct Professor, Plant Science
David Cantor Regular Member
A.B., Sociology, University of Illinois at
Urbana-Champaign, 1976. M.S.,
Mathematical Statistics, University of
Illinois at Urbana-Champaign, 1979.
Ph.D., Sociology, Concentration in Applied
Social Statistics, University of Illinois at
Urbana- Champaign, 1985
- Research Associate Professor, Survey
Methodology
David-Fox, Katherine Regular
Member
A.B., Princeton University, 1986; M.A.,
Yale University, 1988; Ph.D., 1996.
- Assistant Professor, History
David-Fox, Michael Regular Member
A.B., Princeton University, 1987;
M.A.,Yale University, 1988; Ph.D., 1993.
- Associate Professor, History
Davidson, John Regular Member
B.A., Columbia Union College, 1955;
M.S. .University of Maryland-College Park,
1957; Ph.D., 1960.
- Professor Emeritus, Entomology
Davidson, Neil A. Regular Member
B.S., Case Western Reserve University,
1 961 ; M.S., University of Wisconsin-
Madison, 1963; Ph.D., 1970; M.Ed.,
University of Maryland-College Park,
1982.
- Acting Associate Dean, Undergraduate
Studies
- Professor Emeritus, Education:
Curriculum and Instruction
Davis, Allen P. Regular Member
B.S., University of Delaware, 1984; M.S.,
1986; Ph.D., 1989.
- Professor, Engineering: Civil and
Environmental Engineering
Davis, Christopher C. Regular
Member
B.A., Cambridge University, 1965; M.A.,
1970; Ph.D., Manchester University,
1970.
- Associate Dean, A. James Clark School
of Engineering
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Systems Research, Institute
for
- Professor, A. James Clark School of
Engineering
- Professor, Chemical Physics
- Professor, Engineering: Electrical &
Computer Engineering
- Affiliate Professor, Engineering:
Bioengineering
Davis, Donald Special Member
B.A., University of Kansas Lawrence,
1956; Ph.D., Cornell University, 1962.
- Adjunct Professor, Entomology
Davis, Jeffery T. Regular Member
B.A., Colby College, 1981;Ph.D.,
Massachusetts Institute of Technology,
1987.
- Professor, Chemistry
Davis, Johnetta G. Adjunct Member
B.S.Jeachers College, 1961; M.A.,
George Washington University, 1969;
Ph.D., Howard University, 1976.
- Associate Dean, Office of Research and
Graduate Studies
Davis, Larry S. Regular Member
B.A., Colgate University, 1970; M.S.,
University of Maryland-College Park,
1972; Ph.D., 1976.
- Chair, Computer Science
- Professor, Advanced Computer Studies,
Institute for
- Professor, Computer Science
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Affiliate Professor, Engineering:
Electrical & Computer Engineering
Davis, Rebecca L. Regular Member
B.S., University of Southern Colorado,
1981; M.A., University of Northern
Colorado, 1984; M.S., University of
Arizona, 1996.
- Agent, Extension Service Frederick
Davis, Shelley G. Regular Member
B.A., New York University, 1957; M.A.,
1960; Ph.D., 1971.
- Associate Professor, Music
Davis, Thomas Regular Member
B.A., University of Michigan-Ann Arbor,
1988; M.A., Michigan State University,
1999; Ph.D., Michigan State University,
2008.
- Assistant Professor, Education: Policy
Studies
Davisson, Lee D. Regular Member
B.S.E., Princeton University, 1958; M.S.E.,
University of California-Los Angeles, 1961
Ph.D., 1964.
- Professor Emeritus, Engineering:
Electrical & Computer Engineering
Dawisha, Karen L. Regular Member
B.A., University of Lancaster, 1971;
Ph.D. .London School of Economics &
Political Science, 1975.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
Dayie, Kwaku Regular Member
B.A. Physics, 1990, Hamilton College;
PhD., Biophysics, 1996, Harvard
University
- Associate Professor, Chemistry
- Associate Professor, Biochemistry
Dayton, C. Mitchell Regular Member
B.A., University of Chicago, 1955; M.A.,
University of Maryland-College Park,
1963;Ph.D., 1964.
- Professor Emeritus, Education:
Measurement, Statistics and Evaluation
De Claris, Nicholas Regular Member
B.S., Texas A&M University, 1952; S.M.,
Massachusetts Institute of Technology,
1954; Sc.D., 1959.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Engineering: Electrical &
Computer Engineering
De Floriani, Leila Regular Member
Ph.D., Universita Delgi Studie de
Peruguia, 1977
- Professor, Institute for Advanced
Computer Studies (UMIACS)
- Professor, Computer Science
De La Paz, Susan C. Regular
Member
B.S., Northwestern University, 1984; M.S.,
University of Michigan, 1986; Ph.D.,
University of Maryland, 1995.
-Associate Professor, Education: Special
Education
De Lorenzo, William E. Regular
Member
B.A.,Montclair State University, 1959;
M.A., 1964; Ph.D., Ohio State University-
Columbus, 1971.
- Associate Professor Emeritus,
Education: Curriculum and Instruction
De Los Reyes, Andres Regular
Member
B.S. (Criminal Justice), B.A. Political
Science), B.A. (Psychology) Florida
International University, 2001; Ph.D.,
University of Illinois, Chicago, 2008
- Assistant Professor, Psychology
Dean, Jean C. Regular Member
B.S., University of New Mexico-
Albuquerque, 1970; M.A., 1977.
- Senior Agent, Extension Service St.
Marys
- Senior Agent, Cooperative Extension
Service
Deane, Anil E. Regular Member
B. Tech., Indian Inst, of Tech, 1979, M.S.;
Virginia Polytech Inst and State University,
1981, Ph.D.;University of Colorado, 1987
- Associate Research Professor, Applied
Mathematics & Statistics, and Scientific
Computation
DeClaris, Nicholas Regular Member
B.S., Texas A&M University, 1952; M.S.,
MIT, 1954;S.C.D., MIT, 1959.
- Professor, Neuroscience and Cognitive
Science
Dedova, Larissa Regular Member
M.Mus., Moscow State Conservatory,
1974; Ph.D., 1977.
- Professor, Music
546
Defries, Ruth S. Regular Member
B.A., Washington University, 1976; Ph.D.,
Johns Hopkins University, 1980.
- Professor, Earth System Science
Interdisciplinary Center
DeKeyser, Robert Regular Member
B.A., University of Leuven, 1979; M.A.,
Stanford University, 1982; Ph.D., Stanford
University, 1986.
- Professor, Second Language
Acquisition-Ph.D.
DeLio, Thomas J. Regular Member
B.Mus., New England Conservatory of
Music, 1972; Ph.D., Brown University,
1979.
- Professor, Music
Dellarocas, Chrysanthos Regular
Member
B.S., National Technical University of
Athens, 1989; M.S., Massachusetts
Institute of Technology, 1991; Ph.D.,
1996.
- Assistant Professor, Business and
Management
Delwiche, Charles Francis Regular
Member
B.A., University of California-Berkeley,
1984; Ph.D. .University of Wisconsin-
Madison, 1990.
- Professor, Biological Sciences
- Affiliate Professor, Engineering:
Bioengineering
Demaria, Laura Regular Member
B.A. Universidad Nacional de Cordoba,
Argentina, 1988; M.A. Washington
University, St. Louis, Missouri, 1990;
Ph.D. Washington University, St. Louis,
Missouri, 1997.
- Associate Professor, Spanish and
Portuguese Languages and Literatures
Deming, Lee Drake Regular Member
B.A., University of Chicago, 1970; Ph.D.,
University of Illinois, 1976.
- Professor, Astronomy
Demonte, Claudia Regular Member
B.A., College of Notre Dame of Maryland,
1969; M.F.A., Catholic University of
America, 1971.
- Distinguished Scholar-Teacher,
Distinguished Faculty
Dennison, William C. Regular
Member
B.A., Western Michigan University, 1976;
M.S. University of Alaska, 1979; Ph.D.,
The University of Chicago, 1 984
- Professor, Marine-Estuarine-
Environmental Sciences
Denno, Robert F. Regular Member
B.S., University of California-Davis, 1967;
Ph.D., 1973.
- Distinguished Scholar-Teacher,
Distinguished Faculty
Denny, Don W. Regular Member
B.A., University of Florida,1959; M.A.,
New York University-Institute of Fine Arts,
1961; Ph.D., New York University-Institute
of Fine Arts, 1965.
- Professor Emeritus, Art History and
Archaeology
Dernoeden, Peter H. Regular
Member
B.S., Colorado State University, 1970;
M.S., 1976; Ph.D., University of Rhode
Island, 1980.
- Professor, Plant Science
Desai, Jaydev Regular Member
B.Tech., Indian Institute of Technology-
Bombay, 1993; M.S., University of
Pennsylvania, 1995; M.A. (Mathematics),
University of Pennsylvania, 1997; Ph.D.,
University of Pennsylvania, 1998; Post-
Doctoral Fellow, Harvard University (1998-
1999).
- Associate Professor, Engineering:
Mechanical Engineering
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Affiliate Associate Professor,
Engineering: Bioengineering
Desai, Sonalde B. Regular Member
B.A., University of Bombay, 1978; M.A.,
Case Western Reserve University, 1980;
Ph.D., Stanford University, 1987.
- Professor, Sociology
- Affiliate Professor, Women's Studies
DeShong, Philip R. Regular Member
B.S., University of Texas-Austin, 1 971 ;
Ph.D., Massachusetts Institute of
Technology, 1976.
- Professor, Chemistry
- Affiliate Professor, Engineering:
Bioengineering
Deshpande, Amol Regular Member
Ph.D., University of California at Berkeley,
2004
- Assistant Professor, Institute for
Advanced Computer Studies (UMIACS)
- Assistant Professor, Computer Science
DeSilva, Alan W. Regular Member
B.S., University of California-Los Angeles,
1954; Ph.D., University of California-
Berkeley, 1961.
- Professor Emeritus, Physics
Desmond, Sharon M. Regular
Member
B.A., University of Toledo, 1982; M.S.,
1984; Ph.D., 1988.
- Associate Professor, Public Health:
Behavioral and Community Health Ph.D.
- Associate Professor, Public Health:
Master of Public Health-Community
Health Education
- Affiliate Associate Professor, Aging,
Center on
Dessler, Andrew E. Adjunct Member
B.S., Rice University, 1986; M.A., Harvard
University, 1990; Ph.D., 1994.
- Associate Research Scientist, Earth
System Science Interdisciplinary Center
DeStefano, Jeffrey J. Regular
Member
B.S., University of Connecticut-Storrs,
1983; Ph.D., 1990.
- Professor, Biological Sciences
Destler, I.M. Regular Member
B.A., Harvard University, 1961; M. Public
Affairs, Princeton University, 1965; Ph.D.,
1971.
- Professor, Center for International and
Security Studies
Destler, William W. Regular Member
B.S., Stevens Institute of Technology,
1968;Ph.D., Cornell University, 1972.
- Dean, Research & Economic
Development
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Research & Economic
Development
DeVoe, Donald Lad Regular Member
B.S., University of Maryland-College Park,
1991; M.S., 1993; Ph.D., University of
California-Berkeley, 1997.
- Professor, Engineering: Mechanical
Engineering
- Assistant Professor, Systems Research,
Institute for
-Affiliate Professor, Engineering:
Chemical Engineering
- Affiliate Associate Professor,
Engineering: Bioengineering
DeVoe, Howard J. Regular Member
B.A., Oberlin College, 1955; Ph.D.,
Harvard University, 1960.
- Professor Emeritus, Chemistry
DeWitt, William J. Regular Member
B.A., Allegheny College, 1968; M.B.A.,
University of Tennessee-Knoxville, 1972;
Ph.D., University of Tennessee-Knoxville,
2000.
- Lecturer, Business and Management
Dickerson, Russell R. Regular
Member
B.A., University of Chicago, 1975;
M.S. .University of Michigan-Ann Arbor,
1978; Ph.D., 1980.
- Professor, Chemical Physics
- Professor, Atmospheric and Oceanic
Science
- Professor, Chemistry
Diehl, Sharon M. Regular Member
B.S., West Virginia Wesleyan College,
1969; M.S., Frostburg State University,
1983.
- Director, Extension Service Allegany
- Senior Agent, Extension Service
Allegany
- Senior Agent, Cooperative Extension
Service
Diener, Theodor O. Regular Member
Dipl.Sc, Swiss Federal Institute of Tech-
Zurich, 1946; Sc.D., 1948.
- Distinguished University Professor, Plant
Biology
Dieter, George E., Jr. Regular
Member
B.S., Drexel University, 1950; Sc.D.,
Carnegie-Mellon University, 1953.
- Professor Emeritus, Engineering:
Mechanical Engineering
Dietz, James M. Regular Member
B.A., DePauw University, 1970; M.S.,
Purdue University, 1973; Ph.D., Michigan
State University, 1981.
- Professor, Biological Sciences
- Affiliate Professor, Sustainable
Development and Conservation Biology
Diker, Vedat Regular Member
PhD, SUNY- Albany
- Assistant Professor, Information Studies
Dill, Bonnie T. Regular Member
B.A., University of Rochester, 1965; M.A.,
New York University, 1970; Ph.D., 1979.
- Chair, Women's Studies
- Professor, Women's Studies
- Affiliate Professor, American Studies
- Affiliate Professor, Sociology
diMarzo, Marino Regular Member
Dr.lng., University of Naples-Italy, 1976;
Ph.D., Catholic University of America,
1982.
GCEN Academic Advisor for Fire
Protection Engineering
- Professor, Engineering: Mechanical
Engineering
- Professor, Engineering: Fire Protection
Engineering
- Professor, Engineering: Professional
Master of Engineering
DiMichele, William A. Adjunct
Member
B.S., Drexel University, 1974; M.S.,
547
University of Illinois, Urbana-Champaign,
1974; Ph.D., University of Illinois, Urbana-
Champaign, 1979
- Adjunct Professor, Biological Sciences
Ding, Chengri Regular Member
B.S., Beijing Normal University, 1986;
M.S., Sinica Academy of Sciences, 1989;
Ph.D., University of Illinois at Urbana-
Champaign, 1996.
- Associate Professor, Urban and
Regional Planning and Design
Dinman, Jonathan D. Regular
Member
A.B., Oberlin College, 1980; Ph.D., Johns
Hopkins University, M.D.-Ph.D., 1989
- Professor, Biological Sciences
Dinwiddie, Gniesha Regular
Member
- Assistant Professor, Afro-American
Studies
- Affiliate Assistant Professor, Sociology
Dively, Galen II Regular Member
B.S., Juniata College, 1966; M.S. .Rutgers
University-New Brunswick, 1968; Ph.D.,
1974.
- Professor Emeritus, Biological Sciences
- Professor Emeritus, Entomology
Doermann, David Scott Adjunct
Member
B.S., Bloomsburg University of
Pennsylvania, 1986; M.S., University of
Maryland-College Park, 1989; Ph.D.,
1993.
- Associate Research Scientist, Advanced
Computer Studies, Institute for
Doherty, Lillian E. Regular Member
B.A., St. Mary's College, 1974; M.A.,
University of Chicago, 1977; Ph.D. ,1982.
- Chair, Classics
- Professor, Classics
- Affiliate Professor, Women's Studies
Dolgopyat, Dmitry Regular Member
Diploma, Moscow State University, 1994;
Ph.D., Moscow State University, 1997.
- Professor, Mathematics
- Professor, Mathematical Statistics
Donaldson, Bruce K. Regular
Member
A.B., Columbia University, 1954; B.S.C.E.,
1955; M.S., Wichita State University,
1962; M.S.A.E., 1963; Ph.D., University of
Illinois-Urbana/Champaign, 1968.
- Professor Emeritus, Engineering: Civil
and Environmental Engineering
Donawerth, Jane L. Regular
Member
B.A., Miami University-Oxford, 1969;
M.A., University of Wisconsin-Madison,
1970; Ph.D., 1975.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, English Language and
Literature
- Affiliate Professor, Women's Studies
- Affiliate Professor, American Studies
Dooling, Robert J. Regular Member
B.S.,Creighton University, 1967; M.S., St.
Louis University, 1969; Ph.D., 1975.
- Professor, Biological Sciences
- Professor, Psychology
- Professor, Neuroscience and Cognitive
Science
- Affiliate Professor, Biological Sciences
Dooly, Cathryn Rozanne Adjunct
Member
M.S., Towson University, 1978; M.A.,
University of Maryland-College Park,
1982; M.Ed. .Columbia University, 1987;
Ph.D., University of Maryland-College
Park, 1992.
- Faculty Research Associate, Agricultural
Engineering
Dorfman, J. Robert Regular Member
B.A., Johns Hopkins University, 1957;
Ph.D., 1961.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emeritus, Physics
Dorland, William D. Regular Member
B.S., University of Texas, 1988 M.A.,
Princeton University, 1990 Ph.D.,
Princeton University, 1993
- Associate Professor, Center for Scientific
Computation and Mathematical Modeling
- Associate Professor, Physics
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Dorr, Bonnie J. Regular Member
B.A., Boston University, 1984;
M.S. .Massachusetts Institute of
Technology, 1987; Ph.D., 1990.
- Professor, Computer Science
- Associate Professor, Advanced
Computer Studies, Institute for
- Associate Professor, Neuroscience and
Cognitive Science
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Affiliate Professor, Linguistics
Dorsey, John W. Regular Member
B.S., University of Maryland-College Park,
1958; M.A., Harvard University, 1962;
Ph.D., 1964.
- Professor Emeritus, Economics
Dotson, Charles O. Regular Member
B.A., Morehead State University, 1963;
M.S., Purdue University, 1964; Ph.D.,
1968.
- Professor Emeritus, Kinesiology
Dougherty, Lea Regular Member
B.A., University of Delaware, 2001 ; Ph.D.,
Stony Brook University, 2008
- Assistant Professor, Psychology
- Assistant Professor, Neuroscience and
Cognitive Science
Dougherty, Michael Regular
Member
B.S., Kansas State University, 1993; M.S.,
University of Oklahoma, 1996; Ph.D.,
1999
- Associate Professor, Psychology
- Associate Professor, Neuroscience and
Cognitive Science
Doughty, Catherine J. Adjunct
Member
B.A., Ursinus College, 1978; M.S.,
University of Pennsylvania, 1982; Ph.D.,
University of Pennsylvania, 1988.
- Research Scientist, Second Language
Acquisition-Ph.D.
Douglass, Larry W. Regular Member
Ph.D., Oregon State University, 1966.
- Professor Emeritus, Nutrition
Dowd, Patrick W. Regular Member
B.S., State University of New York-College
at Buffalo, 1983; M.S., Syracuse
University, 1985; Ph.D., 1988.
- Research Associate Professor,
Engineering: Electrical & Computer
Engineering
Doyle, Michael Regular Member
B.S., College of St. Thomas, 1964; Ph.D.,
Iowa State University, 1968.
- Acting Chair, Chemistry
- Chair, Biochemistry
- Professor, Chemistry
Dragt, Alex J. Regular Member
A.B., Calvin College, 1958; Ph.D.,
University of California-Berkeley, 1963.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Physics
Drake, James F. Regular Member
B.S., University of California-Los Angeles,
1969; M.S., 1972; Ph.D., 1975.
- Professor, Physics
Draper, Powell Regular Member
B.A., Wake Forest University, 1994; B.S.,
University of Virginia, 2000; M.S.E.,
Princeton University, 2006; Ph.D.,
Princeton University, 2008.
- Assistant Professor, Architecture
Drazen, Allan Regular Member
S.B., Massachusetts Institute of
Technology, 1972; Ph.D., 1976.
- Professor, Economics
Dreher, Mariam Jean Regular
Member
B.A., University of California-Riverside,
1970; M.A., 1976; Ph.D., 1980.
- Professor, Education: Curriculum and
Instruction
Dresner, Martin E. Regular Member
B.Comm., University of Toronto, 1979;
M.B.A., York University, 1980; Ph.D.,
University of British Columbia, 1989.
- Area Chair, Business and Management
- Professor, Business and Management
Drew, H. Dennis Regular Member
B.S., University of Pittsburgh, 1962; Ph.D.,
Cornell University, 1968.
- Professor, Physics
Drezner, Noah Regular Member
B.S., University of Rochester, 2000;
M.S.Ed., University of Pennsylvania,
2004; Ph.D., University of Pennsylvania,
2008.
- Assistant Professor, Higher Education
and International Education
Druehl, Cheryl Regular Member
B.S., University of California-Los Angeles,
1990; M.B.A., University of Pittsburgh,
1995; M.A., Stanford University, 2000;
Ph.D., 2003.
-Assistant Professor, Business and
Management
Druin, Allison J. Regular Member
B.F.A., Rhode Island School of Design,
1985; M.S., Massachusetts Institute of
Technology, 1987; Ph.D. .University of
New Mexico-Albuquerque, 1997.
- Associate Dean, Information Studies
- Associate Dean, Library Science
- Professor, Human-Computer Interaction
- Assistant Professor, Advanced
Computer Studies, Institute for
- Affiliate Associate Professor, Computer
Science
Dryden, Jean Regular Member
- Assistant Professor, Information Studies
- Assistant Professor, Library Science
- Assistant Professor, History/Library
Science
- Assistant Professor, Library Science
Du Puy, Karl F.G. Regular Member
A.B., Dartmouth College, 1964; M.Arch.,
University of Pennsylvania, 1967;
548
M.Arch., Delft University of Technology-
Netherlands, 1969.
- Professor Emeritus, Architecture
Du, Shao Jun Regular Member
B.S., Shangdong University/Shantung
University, 1983; M.S.,Academia Sinica-
Beijing, 1986; Ph.D., University of
Toronto, 1993.
- Associate Professor, Marine-Estuarine-
Environmental Sciences
Dubayah, Ralph O. Regular Member
A.B., University of California-Berkeley,
1982; M.A., University of California-Santa
Barbara, 1985; Ph.D., 1991.
- Professor, Geography
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Dube, Shyam K. Regular Member
for Agricultural Biotechnology, MBI.B.S.,
Agra University, 1952; M.S., 1954; Ph.D.,
Kansas State University, 1 961 .
- Affiliate Professor, Biological Sciences
Dudash, Michele R. Regular
Member
B.A.,Millersville University, 1977; Ph.D.,
University of Illinois-Chicago, 1987.
- Associate Professor, Plant Biology
- Associate Professor, Biological
Sciences
Dudley, James Regular Member
B.A., Southern Illinois University-
Carbondale, 1951; M.S., 1957;
Ed. D., University of lllinois-
Urbana/Champaign, 1964.
- Professor Emeritus, Education: Policy
and Leadership
Duempelmann, Sonja Regular
Member
Dipl. Ing., Landscape Architecture,
University of Hannover Dr. Ing., University
of Fine Arts Berlin, 2002.
- Assistant Professor, Plant Science
- Assistant Professor, Landscape
Architecture
Duffey, Dick Regular Member
B.S., Purdue University, 1939; M.S.,
University of Iowa, 1940; Ph.D. .University
of Maryland-College Park, 1956.
- Professor Emeritus, Materials and
Nuclear Engineering
Dugan, Laura Regular Member
BFA, Applied Media Arts, Edinboro
University of Pennsylvania, 1987; MS,
Public Management and Policy, H. John
Heinz III School of Public Policy and
Managament, Carnegie Mellon Univ.,
1995; MS, Statistics, Carnegie Mellon
Univ, 1998.
- Associate Professor, Criminology and
Criminal Justice
Dunbar, Kevin Regular Member
B.A., University College Dublin, Ireland,
1977; M.A., University College Dublin,
Ireland, 1979; Ph.D., University of
Toronto, 1985
- Professor, Education: Human
Development
Duncan, James H. Regular Member
B.S., Brown University, 1971 ; M.A., Johns
Hopkins University, 1973; Ph.D., 1979.
- Director, College Park Scholars
- Professor, Engineering: Mechanical
Engineering
Duraiswami, Ramani Regular
Member
B.Tech, Indian Institute of Technology,
1985; Ph.D., John Hopkins University,
1991.
- Associate Professor, Institute for
Advanced Computer Studies (UMIACS)
- Associate Professor, Computer Science
- Adjunct Professor, Applied Mathematics
& Statistics, and Scientific Computation
- Affiliate Associate Professor,
Engineering: Electrical & Computer
Engineering
Dwyer, Susan Regular Member
B.A., University of Adelaide, 1985; B.A.
(Hons) University of Adelaide, 1986; Ph.D
Massachusetts Institute of Technology,
1991.
- Associate Professor, Philosophy
Dye, Stephen Special Member
B.S. University of Hawaii at Manoa, 1982;
M.S. University of Hawaii at Manoa, 1984;
Ph.D. University of Hawaii at Manoa,
1988.
- DEFAULT, Geology
Eades, Caroline M. Regular Member
Agregation Lettres classiques, 1979;
Doctorate, University of Paris III, 1987.
- Associate Professor, French and Italian
Languages and Literatures
- Associate Professor, French Language
and Literature
- Associate Professor, Modern French
Studies
- Affiliate Associate Professor, Women's
Studies
Eaker, Erin Regular Member
B.A., University of North Carolina at
Chapel Hill, 1995; Ph.D., University of
California at Los Angeles, 2002.
- Assistant Professor, Philosophy
Earl, James A. Regular Member
B.S., Massachusetts Institute of
Technology,1953; Ph.D., 1957.
- Professor Emeritus, Astronomy
Easley, Glenn R. Adjunct Member
PhD, Computational Science and
Infomatics, George Mason University
2000
Research Scientist, System Planning
Corporation
- DEFAULT, Mathemetics of Advanced
Industrial Technology
Eckstein, Arthur M. Regular Member
B.A., University of California-Los Angeles,
1968; M.A.,1970; Ph.D., University of
California-Berkeley, 1978.
- Professor, History
Edelstein, Stewart L. Adjunct
Member
B.A., State University of New York-
Buffalo, 1968; M.A., University of
California-Berkeley, 1973; Ph.D., 1979.
- Associate Dean, College of Behavioral
and Social Sciences
Edmundson, Harold P. Regular
Member
B.A., University of California, 1946;M.A.,
1948; Ph.D., 1953.
- Professor Emeritus, Computer Science
Edwards, Ann Regular Member
B.A., Harvard University, 1991; M.A.,
University of California at Berkeley, 2002;
Ph.D., University of California at Berkeley,
2006
- Assistant Professor, Education:
Curriculum and Instruction
Edwards, James Adjunct Member
BA in chemistry, St. Louis University, 1999
M.S. in chemistry, St. Louis University
2001 Ph.D. in chemistry, University of
Michigan 2006
- Assistant Professor, Chemistry
-Assistant Professor, Biochemistry
- Adjunct Professor, Biological Sciences
Egel, Andrew L. Regular Member
B.A., University of California-Santa
Barbara,1976; M.A., 1977; Ph.D., 1979.
- Professor, Education: Special Education
Ehrlich, Gertrude Regular Member
B.S., Georgia College, 1943; M.A.,
University of North Carolina-Chapel Hill,
1945; Ph.D., University of Tennessee-
Knoxville, 1953.
- Professor Emerita, Mathematics
Ehrman, Sheryl H. Regular Member
B.S., University of California-Santa
Barbara, 1991; Ph.D., University of
California-Los Angeles, 1997.
- Professor, Engineering: Chemical
Engineering
- Associate Professor, Chemical Physics
- Affiliate Associate Professor,
Engineering: Bioengineering
Eichhorn, Bryan W. Regular
Member
B.A., Rollins College, 1983;Ph.D., Indiana
University-Bloomington, 1987.
- Professor, Chemistry
- Professor, Chemical Physics
-Affiliate Professor, Engineering:
Materials Science and Engineering
Einstein, Theodore L. Regular
Member
B.A., Harvard University, 1969; M.A.,
1969; Ph.D., University of Pennsylvania,
1973.
- Director, Physical Sciences Program
- Professor, Chemical Physics
- Professor, Physics
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Eisenbach, Ronit Regular Member
B.F.A., B. Arch., Rhode Island School of
Design 1985, 1986; M. Arch., Cranbrook
Academy of Art, 1993.
- Associate Professor, Architecture
- Associate Professor, Urban and
Regional Planning and Design
Eisenstein, Edward Regular
Member
B.S., St. Joseph's University, 1979; Ph.D.,
Georgetown University, 1985.
-Associate Professor, Engineering:
Bioengineering
El-Sayed, Najib Regular Member
- Associate Professor, Biological
Sciences
Eley, George, Jr. Regular Member
B.S.,Ohio State University-Columbus,
1952; M.Ed., Ohio State University,
1957;Ph.D., 1966.
- Associate Professor Emeritus,
Education: Curriculum and Instruction
Elgibali, Alaa Regular Member
B.A., Ain Shams University, 1976; M.A.,
American University in Cairo, 1979; Ph.D.,
University of Pittsburgh, 1985.
- Affiliate Professor, Second Language
Acquisition-Ph.D.
Elkin, Stephen L. Regular Member
B.A., Alfred University, 1961; M.A.,
Harvard University, 1963; Ph.D., 1969.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor Emeritus, Government and
Politics
Ellingson, Robert Regular Member
549
- Professor Emeritus, Atmospheric and
Oceanic Science
Elliott, David Adjunct Member
B.A., Pomona College, 1953; M.A.,
University of Southern California-Los
Angeles, 1959; Ph.D., University of
California-Los Angeles, 1969.
- Visiting Senior Research Scientist,
Systems Research, Institute for
Ellis, Christopher Regular Member
B.S., University of Massachusetts, 1988;
M.L.A., Cornell University, 1993; Ph.D.,
University of Illinois Urbana/Champaign,
1998
- Associate Professor, Landscape
Architecture
- Associate Professor, Plant Science
Ellis, Richard F. Regular Member
B.A., Cornell University, 1966; M.A.,
Princeton University, 1968; Ph.D., 1970.
- Professor, Physics
Ellis, Robert L. Regular Member
A.B., Miami University-Ohio, 1960;
Ph.D., Duke University, 1966.
- Professor Emeritus, Mathematics
Elmaghraby, Wedad Regular
Member
B.A., B.S., Cornell University, 1992; M.S.,
University of California-Berkeley, 1995;
Ph.D., 1998.
- Associate Professor, Business and
Management
Elman, Howard C. Regular Member
B.A., Columbia University, 1975;M.A.,
1977; M.S., Yale University, 1979; Ph.D.,
1982.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Advanced Computer Studies,
Institute for
- Professor, Computer Science
Elmore, Andrew Regular Member
B.S., Purdue University, 1997; M.S.;
Brown University, 1999; Ph.D., Brown
University, 2003.
- Assistant Professor, Marine-Estuarine-
Environmental Sciences
Elpus, Kenneth Regular Member
B.M., The College of New Jersey; M.M.,
Northwestern University; Ph.D.,
Northwestern University
- Assistant Professor, Music
Elsing, Evelyn L. Regular Member
B.Mus., University of Michigan-Ann Arbor,
1970;M.Mus., 1971.
- Professor, Music
Emad, Fawzi Regular Member
BSEE: American University of Beirut,
1961; MS: Northwestern University, 1963;
Ph.D. Northwestern University, 1966
- Professor Emeritus, Engineering:
Electrical & Computer Engineering
Engelhardt, Katharina Regular
Member
B.S. Oregon State University, 1993; M.S.
Utah State University, 1997; Ph.D., Utah
State University, 2000
- Research Associate Professor, Marine-
Estuarine-Environmental Sciences
Ennis, Catherine D. Regular
Member
B.S., Lynchburg College, 1975; M.S.,
University of North Carolina-Greensboro,
1977; Ph.D., University of Georgia, 1984.
- Professor Emerita, Kinesiology
Eno, Sarah C. Regular Member
B.A., Gettysburg College, 1984;
M.A., University of Rochester, 1986; Ph.D.,
1990.
- Professor, Physics
Enoch, Jessica Regular Member
B.A., University of Iowa, 1996; M.A.,
Pennsylvania State university, 1999;
Ph.D., Pennsylvania State University,
2003.
- Associate Professor, English Language
and Literature
Ephremides, Anthony Regular
Member
B.S., National Technical University of
Athens, 1967; M.A., 1969; Ph.D. .Princeton
University, 1971.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Engineering: Electrical &
Computer Engineering
- Professor, Systems Research, Institute
for
- Professor, Engineering: Systems
Engineering
Epstein, Norman B. Regular
Member
B.A., University of California-Los Angeles,
1969; M.A., 1970; Ph.D., 1974.
- Professor, Family Science
- Professor, Public Health: Maternal and
Child Health Ph.D.
Erdman, Richard A. Regular
Member
B.S. .University of Wisconsin-River Falls,
1974; M.S., University of Kentucky, 1977;
Ph.D., 1979.
- Professor, Animal Sciences
Erickson, William C. Regular
Member
B.A., University of Minnesota-Twin Cities,
1951 M.A., 1955; Ph.D., 1956.
- Professor Emeritus, Astronomy
Eshleman, Keith Neal Regular
Member
B.A., University of Virginia, 1978;
M.S. .Massachusetts Institute of
Technology, 1982; Ph.D., 1985. MEES
- Professor, Marine-Estuarine-
Environmental Sciences
Espy-Wilson, Carol Y. Regular
Member
B.S., Stanford University, 1979; M.S.,
Massachusetts Institute of Technology,
1981; M. Elect. Eng., 1984; Ph.D., 1987
- Professor, Engineering: Electrical &
Computer Engineering
- Professor, Engineering: Systems
Engineering
Etlin, Richard A. Regular Member
A.B., Princeton University, 1969; M.Arch.,
1972; Ph.D., 1978.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Distinguished University Professor,
Urban and Regional Planning and Design
- Distinguished University Professor,
Architecture
Evans, Jay Adjunct Member
B.A., Princeton University, 1988; Ph.D.,
University of Utah, 1 995.
- Adjunct Professor, Biological Sciences
Evans, Michael N. Regular Member
B.A., Harvard University, 1992; Ph.D.,
Columbia University, 1999.
- Associate Professor, Geology
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Affiliate Assistant Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Evans, William N. Regular Member
B.A., Wake Forest University, 1983; M.A.,
Duke University, 1985; Ph.D., 1987.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
Evers, Philip T. Regular Member
B.S., Tri-State University, 1987; M.B.A.,
University of Notre Dame, 1988; Ph.D.,
University of Minnesota-Twin Cities,
1993.
- Associate Professor, Business and
Management
Everts, Kathryne L. Regular Member
B.S., Colorado State University, 1981;
M.S., 1984; Ph.D., Michigan State
University, 1989.
- Professor, Plant Science
Fabian, Ellen S. Regular Member
B.A., University of Wisconsin-Madison,
1973; M.A., 1980; Ph.D.,University of
Maryland-College Park, 1988.
- Professor, Education: Counseling and
Personnel Services
Fagan, William F. Regular Member
B.A., University of Delaware, 1992; Ph.D.,
University of Washington, 1996
- Professor, Biological Sciences
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Fahnestock, Jeanne D. Regular
Member
B.A., University of lllinois-
Urbana/Champaign, 1966; M.A., Indiana
University-Bloomington, 1967; Ph.D.,
University of London, 1970.
- Professor, English Language and
Literature
Falk, David S. Regular Member
B.Eng.Phys., Cornell University, 1954;
M.S., Harvard University, 1955;
Ph.D., 1959.
- Assistant Vice President, Academic
Affairs
- Professor Emeritus, Physics
Falk, William W. Regular Member
B.A., North Texas State University, 1969;
M.A.,1970; Ph.D., Texas A&M University-
College Station, 1975.
- Professor, Sociology
- Affiliate Professor, American Studies
Fallon, Daniel Regular Member
B.A., Antioch College, 1961 ; M.A.,
University of Virginia, 1963; Ph.D., 1965.
- Acting Director, International Programs
- Professor, International Programs
Falvey, Daniel E. Regular Member
B.S., North Dakota State University-Fargo,
1983; Ph.D. .University of lllinois-
Urbana/Champaign, 1988.
- Professor, Chemical Physics
- Professor, Chemistry
Falvo, Joseph D. Regular Member
B.A., Loyola University, New Orleans,
1974; M.A., Catholic University of
America, 1977; M.A., 1979; M.A.,Johns
Hopkins University, 1984; Ph.D., 1986.
- Associate Professor, Modern French
Studies
- Associate Professor, French Language
and Literature
Fanning, Delvin S. Regular Member
B.S., Cornell University, 1954; M.S., 1959;
550
Ph.D., University of Wisconsin-Madison,
1964.
- Professor Emeritus, Enviromental
Science and Technology
Faraj, Samer Regular Member
B.S., University of Wisconsin-Milwaukee,
1980; M.S., Massachusetts Institute of
Technology, 1982; Ph.D., Boston
University, 1998.
- Associate Professor, Business and
Management
Farman, Jason Regular Member
B.A., Westmont College, 2000; M.A.,
Claremont Graduate University, 2002;
Ph.D., University of California-Los
Angeles, 2006.
- Assistant Professor, American Studies
Faroqi Shah, Yasmeen Regular
Member
Ph.D. Northwestern University, 2004
- Assistant Professor, Clinical Audiology
Farquhar, James Regular Member
B.S., Washington and Lee University,
1987; M.S., University of Chicago, 1990;
Ph.D., University of Alberta, 1995.
- Professor, Geology
Farvardin, Nariman Regular Member
B.S., Rensselaer Polytechnic Institute,
1979; M.S., 1980; Ph.D., 1983.
- Professor, Systems Research, Institute
for
- Professor, Engineering: Electrical &
Computer Engineering
Fassinger, Ruth E. Regular Member
B.A., State University of New York-
Fredonia, 1973; M.A., 1978; M.A., Ohio
State University-Columbus, 1984; Ph.D.,
1987.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emeritus, Education:
Counseling and Personnel Services
Favero, Philip G. Regular Member
B.A., University of Montana, 1965; M.A.,
1970; Ph.D., Michigan State University,
1977.
- Associate Professor, Cooperative
Extension Service
- Associate Professor, Governmental
Service, Institute for
Favretto, Francine Adjunct Member
Ph.D., University of Maryland
- Lecturer, Education: Human
Development
Favretto, Francine Grace Adjunct
Member
B.A., Hunter College, 1964; M.Ed., UMD,
1982; Ph.D., UMD, 1990.
- Director, Young Children, Center for
Fei, Yingwei Adjunct Member
B.S., Zhejiang University, 1982; Ph.D.,
CUNY-Graduate Center, 1989.
- Adjunct Professor, Geology
Feinberg, Susan E. Regular Member
Bachelor of Science Economics,
University of Pennsylvania, 1987;
Ph.D. .University of Minnesota-Twin Cities,
1996.
- Assistant Professor, Business and
Management
Feitell, Merrill Beth Regular Member
B.A., University of California, Santa Cruz,
1993; M.F.A., Columbia University, 2000.
- Assistant Professor, Creative Writing
- Assistant Professor, English Language
and Literature
Felbain, Leslie Regular Member
B.S., University of Wisconsin-Madison,
1975.
- Associate Professor, Theatre
- Associate Professor, Theatre
- Affiliate Assistant Professor, Women's
Studies
Feldman, Robert H. Regular Member
B.A., City University of New York-Brooklyn
College, 1964; M.A., Pennsylvania State
University-University Park, 1966; M.S.,
Syracuse University, 1972; Ph.D., 1974.
- Professor, Public Health: Behavioral and
Community Health Ph.D.
- Professor, Public Health: Master of
Public Health-Community Health
Education
- Affiliate Professor, Aging, Center on
Felton, Gary Kent Regular Member
B.S., University of Maryland-College Park,
1976; M.S., 1981; Ph.D.Jexas A&M
University-College Station, 1987.
- Associate Professor, Enviromental
Science and Technology
Fenselau, Catherine C. Regular
Member
A.B., Bryn Mawr College, 1 961 ; Ph.D.,
Stanford University, 1965.
- Professor, Biochemistry
- Professor, Chemistry
- Professor, Biological Sciences
- Affiliate Professor, Engineering:
Bioengineering
Fenster, Charles B. Regular Member
B.A.,Amherst College, 1979; Ph.D.,
University of Chicago, 1988.
- Associate Professor, Biological
Sciences
- Associate Professor, Plant Biology
Ferraro, Rosellina Regular Member
B.A., Cornell University, 1994; M.S.,
Cornell University, 1998.
- Lecturer, Business and Management
Feuer, Avital Regular Member
B.A., University of Winnipeg, 2002; M.A.,
Brandeis University, 2004; Ph.D. York
University, 2007.
- Visiting Associate Professor, Jewish
Studies
Fey, James T. Regular Member
B.S., University of Wisconsin-Madison,
1962; M.S., 1963; Ph.D., Columbia
University, 1968.
- Professor Emeritus, Education:
Curriculum and Instruction
- Professor Emeritus, Mathematics
Filiz Ozbay, Emel Regular Member
B.S., Bogazici University, 1998; M.A.,
Bogazici Univerity, 2000; M.Phil.,
Columbia University, 2004; Ph.D.,
Columbia University, 2007.
- Assistant Professor, Economics
Finch, Michael L. Regular Member
B.A., Lehigh University, 1973; M.B.A.,
Baylor University, 1988; Ph.D., University
of Tennessee-Knoxville, 1994.
- Lecturer, Business and Management
Finch, Patricia R. Regular Member
B.A., St. Joseph College, 1969; M.Ed.,
Towson University, 1978; M.B.A., Loyola
College in Maryland, 1983.
- Agent, Extension Service Baltimore City
- Agent, Cooperative Extension Service
Fink, Beatrice C. Regular Member
B.A.,Bryn Mawr College, 1953; M.A., Yale
University, 1956; Ph.D., University of
Pittsburgh, 1966.
- Professor, Modern French Studies
- Professor Emerita, Modern French
Studies
- Professor Emerita, French Language
and Literature
Fink, Edward L. Regular Member
B.A., Columbia University, 1966; M.S.,
University of Wisconsin-Madison, 1969;
Ph.D., 1975.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Distinguished Scholar-Teacher,
Communication
- Professor, Communication
- Affiliate Professor, Sociology
- Affiliate Professor, Second Language
Acquisition-Ph.D.
Finkelstein, Barbara J. Regular
Member
B.A., Barnard College, 1959;M.A.,
Columbia University-Teachers College,
1960; Ed.D., 1970.
- Professor, Education: Policy and
Leadership
- Professor Emerita, Education: Policy
Studies
- Affiliate Professor, American Studies
Finsterbusch, Kurt Regular Member
B.A., Princeton University, 1957;
B.D., Grace Theological Seminary, 1960;
Ph.D., Columbia University, 1969.
- Professor, Sociology
Fischbach, Gerald F. Regular
Member
B.F.A., University of Wisconsin-
Milwaukee, 1964; M.Mus., University of
Illinois-Urbana/Champaign, 1965; D.M.A.,
University of Iowa, 1 972.
- Professor, Music
Fisher, Dana Regular Member
Ph.D., University of Wisconsin-Madison
2001; M.A., University of Wisconsin-
Madison, 1999; A.B., Princeton University,
1993
- Associate Professor, Sociology
Fisher, John P. Regular Member
B.S.Ch.E., The Johns Hopkins University,
1995; M.S., University of Cincinnati, 1998;
Ph.D., Rice University, 2002
-Associate Chair, Engineering:
Bioengineering
-Associate Professor, Engineering:
Bioengineering
- Affiliate Assistant Professor,
Engineering: Chemical Engineering
Fisher, Michael E. Regular Member
B.S., King's College-London, 1951; Ph.D.,
1957; S.C.D., Yale University, 1987; Ph.D.
Honoris Causa, Tel Aviv University, 1992.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Distinguished University Professor,
Biophysics
- Distinguished University Professor,
Physics
- Distinguished University Professor,
Chemical Physics
- Regents Professor, University System of
Maryland
Fisher, Thomas R. Regular Member
B.A., University of Pennsylvania, 1968;
Ph.D., Duke University, 1975.
- Professor, Marine-Estuarine-
Environmental Sciences
Fitzgerald, Caragh B. Regular
Member
B.A., Bowdoin College, 1990; M.S.,
University of Maine at Orono, 1998.
551
- Agent, Extension Service Howard
- Agent, Cooperative Extension Service
Fitzgerald, Tracy Regular Member
B.A., Rutgers University, 1991; M.S.,
Syracuse University, 1993; Ph.D.,
Syracuse University, 2001
- Assistant Professor, Clinical Audiology
- Assistant Professor, Clinical Audiology
- Assistant Professor, Hearing and
Speech Sciences
Fitzgibbons, Peter J. Adjunct
Member
B.S., Tufts University, 1969; M.S.,
University of Massachusetts-Amherst,
1973; Ph.D., Northwestern University,
1979.
- Lecturer, Clinical Audiology
- Lecturer, Hearing and Speech Sciences
Fitzpatrick, Matthew Regular
Member
Ph.D. University of Tennessee, 2008
- Assistant Professor, Marine-Estuarine-
Environmental Sciences
Fitzpatrick, Patrick M. Regular
Member
B.A., Rutgers University-New Brunswick,
1966;Ph.D., 1971.
- Professor, Mathematics
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Flannery, Terry Adjunct Member
B.A., University of Maryland, 1983; M.Ed.,
University of Maryland, 1987; Ph.D.,
University of Maryland, 1995.
- Affiliate Assistant Professor, Education:
Counseling and Personnel Services
Flatau, Alison Regular Member
B.S., University of Connecticut, 1978;
M.S., University of Utah, 1985, Ph.D.,
1990
- Professor, Engineering: Aerospace
Engineering
- Affiliate Professor, Engineering:
Materials Science and Engineering
Flatter, Charles H. Regular Member
B.A.,DePauw University, 1961; E.Ed.,
University of Toledo, 1 965; Ed.D.,
University of Maryland-College Park,
1968.
- Associate Professor Emeritus,
Education: Human Development
Fleischmann, Kenneth Regular
Member
B.A., Case Western Reserve University,
1999; M.S. Rensselaer Polytechnic
Institute, 2002; Ph.D., Rensselaer
Polytechnic Institute, 2004.
- Associate Professor, Information Studies
- Associate Professor, Human-Computer
Interaction
- Associate Professor, Information
Management
- Associate Professor, Library Science
Flieger, Verlyn B. Regular Member
B.A., George Washington University,
1955; M.A., Catholic University of America,
1972; Ph.D., 1977.
- Professor, English Language and
Literature
Florea, Li liana Regular Member
B.S. University of Bucharest, Romania,
1994; M.Sc. Penn State University, 1998;
Ph.D. Penn State University, 2000.
- Affiliate Professor, Biological Sciences
Flynn, Adrianne Adjunct Member
B.A., Arizona State University,
- Lecturer, Journalism
Fogle, David P. Regular Member
A.B., Princeton University, 1951;
M.C.R.P., University of California-
Berkeley, 1958.
- Professor Emeritus, Architecture
Folstrom, Roger J. Regular Member
B.S., College of St. Thomas, 1956; M.Ed.,
1959; M.Mus., Northwestern University,
1962; Ph.D., 1967.
- Professor Emeritus, Music
- Professor Emeritus, Education:
Curriculum and Instruction
Forni, Giovanni Regular Member
B.A. Universitadi Bologna, Italy, 1988
Ph.D. Princeton University, 1993.
- Professor, Mathematics
Forseth, Irwin N., Jr. Regular
Member
B.A., Hamline University, 1976; Ph.D.,
University of Utah, 1 982.
- Associate Professor, Biological
Sciences
- Associate Professor, Plant Science
- Associate Professor, Plant Science
Foster, Jeff Regular Member
Ph.D., University of California at Berkeley,
2002
- Assistant Professor, Institute for
Advanced Computer Studies (UMIACS)
- Assistant Professor, Computer Science
Fourkas, John T. Regular Member
Ph.D. Stanford University (1991)
- Professor, Chemical Physics
- Professor, Chemistry
Fourney, William L. Regular Member
B.S.A.E., West Virginia University, 1962;
M.S., 1963; Ph.D., University of lllinois-
Urbana/Champaign, 1966.
GCEN Academic Advisor for Aerospace
Engineering
- Associate Dean, A. James Clark School
of Engineering
- Professor, Engineering: Mechanical
Engineering
- Professor, A. James Clark School of
Engineering
- Professor, Engineering: Aerospace
Engineering
- Professor, Engineering: Aerospace
Engineering
- Professor, Engineering: Professional
Master of Engineering
Foutz, Ying Natasha Regular
Member
B.S., Fudan University-Shanghai, 1998;
M.S., 2002; M.S., 2004, Ph.D., 2004,
Cornell University.
- Assistant Professor, Business and
Management
Fox, Nathan A. Regular Member
A.B., Williams College, 1970; Ph.D.,
Harvard University, 1975.
- Professor, Neuroscience and Cognitive
Science
- Professor, Education: Human
Development
- Affiliate Professor, Jewish Studies
Fox-Rabinovitz, Michael Regular
Member
Ph.D.; Moscow State University, M.S.;
Moscow State University
- Senior Research Scientist, Applied
Mathematics & Statistics, and Scientific
Computation
Fox-Rabinovitz, Michael
S. Adjunct Member
M.S. Moscow State University, 1959;
Ph.D., World Meteorological Center,
1964.
- Senior Research Scientist, Earth System
Science Interdisciplinary Center
Fradkin, Robert A. Regular Member
B.A., Boston University, 1973; M.A.,
Indiana University-Bloomington, 1976;
Ph.D.,1985.
- Assistant Professor, Asian and East
European Languages and Cultures
- Assistant Professor, Asian and East
European Languages and Cultures
Fraistat, Neil R. Regular Member
B.A., University of Connecticut-Storrs,
1974; M.A., University of Pennsylvania,
1976; Ph.D., 1979.
- Director, English Language and
Literature
- Professor, English Language and
Literature
Francescato, Guido Regular
Member
B.Arch., University of lllinois-
Urbana/Champaign, 1959; M.Arch., 1966.
- Professor Emeritus, Architecture
Franda, Marcus Regular Member
B.A., Beloit College, 1 959; A.M.,
University of Chicago, 1960; Ph.D.,
University of Chicago, 1966.
- Professor Emeritus, Government and
Politics
Frank, Howard Regular Member
B.S., University of Miami, 1962; M.S.,
Northwestern University, 1964; Ph.D.,
1965.
- Professor, Business and Management
-Affiliate Professor, Engineering:
Electrical & Computer Engineering
Franklin, Jon Regular Member
B.S., University of Maryland, 1970
- Professor Emeritus, Journalism
Franklin, Manoj Regular Member
B.S., University of Kerala, 1984;
M.S. .University of Wisconsin-Madison,
1990; Ph.D., 1993.
-Associate Professor, Engineering:
Electrical & Computer Engineering
- Assistant Professor, Advanced
Computer Studies, Institute for
- Affiliate Associate Professor, Computer
Science
Franklin, Michael J. Regular
Member
B.S., University of Massachusetts-
Amherst, 1983; M.S.E., Wang Institute of
Graduate Studies, 1986; Ph.D., University
of Wisconsin-Madison, 1993.
- Associate Professor, Advanced
Computer Studies, Institute for
Franks, Burleigh Don Regular
Member
B.S.Ed., University of Arkansas-
Fayetteville,1960; M.Ed., 1961; Ph.D.,
University of Illinois-Urbana/Champaign,
1967.
- Professor Emeritus, Kinesiology
Frauke Kreuter Regular Member
Ph.D. University of Konstanz (2001)
- Associate Professor, Survey
Methodology
Frauwirth, Kenneth Regular Member
B.S., Brown University, 1992; Ph.D.,
University of California-Berkeley, 1997.
- Assistant Professor, Biological Sciences
Frebertshauser, Denise
Elaine Regular Member
B.S., University of Maryland-College Park,
552
1991; M.S., 1998.
- Agent, Cooperative Extension Service
- Agent, Extension Service Carroll
Fredericksen, Brenda Regular
Member
Ph.D. University of Tennessee Health
Science Center-1997
- Assistant Professor, Biological Sciences
Frederik Meer, Laurie Regular
Member
B.A., University of Virginia, 1990; B.A.
Honors, University of Cape Town, 1993;
Ph.D., University of Chicago, 2006.
- Assistant Professor, Theatre
- Affiliate Assistant Professor, Women's
Studies
Frederiksen, Elke P. Regular
Member
B.A., University of Kiel, 1962; M.A., 1962;
M.A., University of Wisconsin-Madison,
1965; Ph.D. .University of Colorado-
Boulder, 1973.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, German Literature and
Language
- Affiliate Professor, Women's Studies
Freed, Eric Adjunct Member
Ph.D. University of Wisconsin-Madison,
1990
- Adjunct Professor, Biological Sciences
Freedman, Morris Regular Member
B.A., City University of New York-City
College, 1941; M.A., Columbia University,
1950; Ph.D., 1953.
- Professor Emeritus, Honors
- Professor Emeritus, English Language
and Literature
Freidenberg, Judith N. Regular
Member
M.A., University of Buenos Aires, 1969;
Ph.D., City University of New York-
Graduate School & Univ. Center, 1 978.
- Associate Professor, Anthropology
- Affiliate Associate Professor, Women's
Studies
- Affiliate Associate Professor, Jewish
Studies
- Affiliate Associate Professor, American
Studies
- Affiliate Assistant Professor, Aging,
Center on
Freidlin, Mark I. Regular Member
M.S., Moscow State University, 1959;
Ph.D.,Steklov Mathematical Institute,
1962; Doctor, Moscow State University,
1970.
- Distinguished University Professor,
Mathematical Statistics
- Distinguished University Professor,
Mathematics
- Distinguished University Professor,
Applied Mathematics & Statistics, and
Scientific Computation
Frels, Judy K. Regular Member
M.B.A., University of Texas-Austin, 1988;
B.A., 1994; Ph.D., 1999.
- Assistant Professor, Business and
Management
Fretz, Bruce R. Regular Member
B.A., Gettysburg College, 1961; M.A.,
Ohio State University, 1963; Ph.D. ,1965.
- Professor Emeritus, Psychology
Fretz, Thomas A. Regular Member
B.S., University of Maryland-College Park,
1964; M.S., University of Delaware, 1966;
Ph.D., 1970.
- Dean, College of Agriculture and Natural
Resources
- Director, Maryland Cooperative
Extension & Agricultural Experiment
Station
Freund, David Regular Member
PhD, University of Michigan, 1999
- Associate Professor, History
Fried, Susan K Regular Member
A.B., Barnard College, 1974,
M.S. .Columbia University, 1975, Ph.D.
Columbia University, 1980.
- Professor, Nutrition
Friedel, Robert D. Regular Member
B.A., Brown University, 1971; M.S.,
University of London, 1972; Ph.D., Johns
Hopkins University, 1977.
- Professor, History
Friedman, Michael Regular Member
B.A., Tufts University, 1992; M.A.,
University of Maryland, College Park,
2000; Ph.D., University of Maryland,
College Park, 2008
- Research Assistant Professor,
Kinesiology
Fries-Britt, Sharon
LaVonne Regular Member
B.S., University of Maryland-College Park,
1981 ; M.A., Ohio State University-
Columbus, 1983; Ph.D., University of
Maryland-College Park, 1994.
- Associate Professor, Higher Education
and International Education
- Associate Professor, Education: Policy
and Leadership
Frisch, Andrea Regular Member
B.A. University of Wisconsin-Madison,
1988; Ph.D., University of California-
Berkeley, 1996
- Associate Professor, Modern French
Studies
- Associate Professor, French Language
and Literature
Frisch, Mathias Regular Member
BA University of California, Berkeley 1990;
M.A., 1994; Ph.D., 1998.
- Associate Professor, Philosophy
- Affiliate Associate Professor, Second
Language Acquisition-Ph.D.
Fry, Gladys M. Regular Member
B.A., Howard University, 1952; M.A.,
1954; Ph.D., Indiana University-
Bloomington, 1967.
- Professor Emerita, English Language
and Literature
Fry, James H. Regular Member
B.Mus., Southern Methodist University,
1971; M.Mus., 1974; Ph.D. .University of
Rochester, 1977.
- Associate Professor, Music
Fryer, Craig S. Regular Member
B.S., Case Western University; MPH,
University of Pittsburgh; DrPH, Columbia
University
- Assistant Professor, Public Health:
Master of Public Health-Community
Health Education
- Assistant Professor, Public Health:
Behavioral and Community Health Ph.D.
Fu, Chung C. Regular Member
B.S., National Taiwan University, 1972;
M.S., University of Maryland-College Park,
1975; Ph.D., 1982.
- Research Professor, Engineering: Civil
and Environmental Engineering
Fu, Michael C. Regular Member
S.B., Massachusetts Institute of
Technology, 1985; S.M., 1985; S.M.,
Harvard University, 1986; Ph.D., 1989.
- Distinguished Scholar-Teacher, Applied
Mathematics & Statistics, and Scientific
Computation
- Professor, Engineering: Systems
Engineering
- Professor, Systems Research, Institute
for
- Professor, Business and Management
-Affiliate Professor, Engineering:
Electrical & Computer Engineering
Fuegi, John B. Regular Member
B.A., Pomona College, 1 961 ; Ph.D.,
University of Southern California-Los
Angeles, 1967.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor, German Literature and
Language
Fuhrer, Michael Regular Member
Ph.D., Univ. of CA - Berkeley, 1998
- Professor, Chemical Physics
- Associate Professor, Physics
Fullinwider, Robert K. Adjunct
Member
B.A., University of Kentucky, 1964; M.A.,
Purdue University, 1967; Ph.D., 1970.
- Senior Research Scholar, Philosophy
and Public Policy, Institute for
Fultz, Stanley W. Regular Member
B.S., Pennsylvania State University-
University Park, 1982; M.S., Virginia
Polytechnic Institute & State University,
1984.
- Senior Agent, Extension Service
Frederick
- Senior Agent, Cooperative Extension
Service
Fushman, David Regular Member
M.S., University of Kazan, 1978; Ph.D.,
University of Kazan, 1 985.
- Professor, Chemistry
- Professor, Biophysics
- Professor, Chemical Physics
- Professor, Biochemistry
Gabriel, Steven A. Regular Member
B.A.,Middlebury College, 1981; M.S.,
Stanford University, 1984; M.A., Johns
Hopkins University, 1989; Ph.D., 1992.
-Associate Professor, Engineering: Civil
and Environmental Engineering
- Assistant Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Gaines, Robert N. Regular Member
B.A., University of California-Davis, 1972;
M.A., 1975; Ph.D., University of Iowa,
1982.
- Professor, Communication
Galitski, Victor M. Regular Member
M.S., Moscow State University, 1998;
Ph.D., University of Minnesota, 2002;
- Assistant Professor, Physics
Gallagher, Lavelette E. Regular
Member
B.S., University of Maryland-College Park,
1975; M.S., 1982.
- Senior Agent, Extension Service
Dorchester
- Senior Agent, Cooperative Extension
Service
Galloway, Gerald Adjunct Member
B.S., U.S. Military Academy; M.S.,
Princeton University; M.P.A.,
Pennsylvania State University; M.A., U.S.
Army Command and General Staff
College; Ph.D., University of North
Carolina.
553
- Research Professor, Engineering: Civil
and Environmental Engineering
Gammon, Robert W. Regular
Member
A.B., Johns Hopkins University, 1961;
M.S. .California Institute of Technology,
1963; Ph.D., Johns Hopkins University,
1967.
- Professor, Chemical Physics
- Professor, Physics
Gandy, Sharon G. Regular Member
B.S., University of Georgia, 1975; M.Ed.,
1976; M.B.A., University of Baltimore,
1987.
- Agent, Cooperative Extension Service
- Agent, Extension Service Harford
Gannon, Martin J. Regular Member
B.A., University of Scranton, 1 961 ; Ph.D.,
Columbia University, 1969.
- Professor Emeritus, Business and
Management
Gansler, Jacques S. Regular
Member
NO*CV*LISTED
- Affiliate Professor, Engineering: Civil and
Environmental Engineering
Gantt, Elisabeth Regular Member
B.A., Blackburn College, 1958; M.S.,
Northwestern University, 1960; Ph.D.,
1963.
- Distinguished University Professor,
Biological Sciences
- Professor, Plant Biology
Gao, Guodong Regular Member
B.Econ., B.Eng., 1998, MBA, 2000,
Tsinghua University.
- Lecturer, Business and Management
Gao, James Z. Regular Member
B.A., Beijing Foreign Studies University,
1978;M.A., Beijing University/Peking
University, 1983; M.A., Yale University,
1989; Ph.D., 1994.
- Associate Professor, History
Gao, Lian-Yong Regular Member
B.S. Ocean University of Qingdao, 1983;
M.S., Western Kentucky University, 1995;
Ph.D., University of Kentucky, 1999
- Assistant Professor, Biological Sciences
Gardner, Albert H. Regular Member
B.S. .State University of New York-
Cortland, 1958; M.A., Syracuse University,
1964;Ph.D., 1967.
- Associate Professor Emeritus,
Education: Human Development
Gardner, Amy E. Regular Member
B.Sc, University of Virginia,1 981 ; M.Arch.,
1985.
- Associate Professor, Urban and
Regional Planning and Design
- Associate Professor, Architecture
Gardner, Bruce L. Regular Member
B.S., University of lllinois-
Urbana/Champaign, 1964; Ph.D.,
University of Chicago, 1968.
- Director, Center for Agricultural and
Natural Resource Policy
Gardner, Robert H. Regular Member
B.A., Taylor University, 1963; M.A.,
College of William & Mary, 1967; Ph.D.,
North Carolina State University, 1975.
- Professor, Marine-Estuarine-
Environmental Sciences
Garrett, Wallace T. Regular Member
B.S., University of Delaware, 1963; M.S.,
University of Maryland-College Park,
1965; Ph.D., 1973.
- Acting Director, Extension Service
Worcester
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service
Worcester
Garza, Mary Regular Member
- Assistant Professor, Public Health:
Master of Public Health-Community
Health Education
- Assistant Professor, Public Health:
Behavioral and Community Health Ph.D.
Gasarch, William Regular Member
B.S., State University of New York-Stony
Brook, 1980; M.S., Harvard University,
1982 Ph.D., 1985.
- Professor, Computer Science
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Advanced Computer Studies,
Institute for
Gass, Saul I. Regular Member
B.A., Boston University, 1949; M.A.,
1949;Ph.D., University of California-
Berkeley, 1965.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emeritus, Business and
Management
Gates, John Edward Regular
Member
B.S., Old Dominion University, 1969; M.A.,
Bowling Green State University, 1972;
Ph.D., Michigan State University, 1976.
- Associate Professor, Marine-Estuarine-
Environmental Sciences
Gates, Sylvester James,
Jr. Regular Member
B.S., Massachusetts Institute of
Technology, 1973; Ph.D., 1977.
- Director, Center for Particle and String
Theory
- Distinguished Scholar-Teacher, Physics
- Distinguished Scholar-Teacher, Applied
Mathematics & Statistics, and Scientific
Computation
- Professor, Physics
Gavin, Dawn Regular Member
B.A.(Hon), Duncan of Jordanstone
College of Art and Design, 1 991 ; M.F.A.,
Duncan of Jordanstone Collge of Art and
Design, 1 992; School of Art and Design,
School of Television and Imaging-Duncan
of Jordanstone College of Art and Design,
2004.
- Assistant Professor, Art Studio
- DEFAULT, Art Studio
Gavrilas, Mirela Regular Member
B.S. .University of Maryland-College Park,
1990; Ph.D. .Massachusetts Institute of
Technology, 1995.
- Assistant Professor, Materials and
Nuclear Engineering
Gaycken, Oliver Regular Member
B.A., Princeton University, 1994; M.A.,
University of Chicago, 1996; Ph.D.,
University of Chicago, 2005.
- Associate Professor, Comparative
Literature
- Assistant Professor, English Language
and Literature
Gaylin, Ned L. Regular Member
B.A., University of Chicago, 1956; M.A.,
1961;Ph.D., 1965.
- Professor Emeritus, Family Science
Gekker, Paul C. Regular Member
B.Mus., University of Rochester, 1976;
M.Mus., University of Maryland-College
Park, 1981.
- Associate Professor, Music
Gelfand, Michele Joy Regular
Member
B.A., Colgate University, 1989; M.A.,
University of Illinois 1992; Ph.D., 1996.
- Distinguished Scholar-Teacher,
Psychology
- Professor, Psychology
Gelso, Charles J. Regular Member
B.S., Bloomsburg State College, 1963;
M.S., Florida State University, 1964;
Ph.D., Ohio State University-Columbus,
1970.
- Professor, Psychology
Gentilli, Rodolphe Regular Member
B.Sc, University of Burgundy, 1997;
M.Sc, University of Burgundy, 1999;
B.Sc, University of Franche-Comte, 2004;
Ph.D., University of Burgundy, 2005
- Research Assistant Professor,
Kinesiology
Gentry, James W. Regular Member
B.S., Oklahoma State University-
Stillwater, 1961 ; M.S., University of
Birmingham, 1963; Ph.D., University of
Texas-Austin, 1969.
- Professor Emeritus, Engineering:
Chemical Engineering
Geoffroy, Gregory L. Regular
Member
B.S., University of Louisville, 1968; Ph.D.,
California Institute of Technology, 1974.
- Provost, Academic Affairs
- Senior Vice President Academic Affairs,
Academic Affairs
Geores, Martha E. Regular Member
B.A., Bates College, 1973; J. D., New York
University School of Law, 1977;
Ph.D. .University of North Carolina-Chapel
Hill, 1993.
- Associate Chair, Geography
- Associate Professor, Geography
- Affiliate Associate Professor, Women's
Studies
Georgiy (George) Belov Regular
Member
Ph.D., M. V. Lomonosov Moscow State
University, Russia 2001. Dr. Belovs
research focuses on replication of
poliovirus and other positive strand RNA
viruses with the special emphasis on
mechanisms of viral hijacking of cellular
metabolic pathways to assemble their own
replication complexes and to counter
cellular defenses.
- Assistant Professor, Veterinary Medical
Sciences
Geraci, Philip C. Regular Member
B.S., University of Maryland-College Park,
1953; M.A., 1961.
- Associate Professor Emeritus, College of
Journalism
Gerber, Richard E. Regular Member
B.A., University of Pennsylvania, 1978;
M.S., Cornell University, 1981; Ph.D.,
University of Pennsylvania, 1991.
- Associate Professor, Advanced
Computer Studies, Institute for
Gerstle, Gary Regular Member
B.A., Brown University, 1976; M.A.,
Harvard University,1978; Ph.D., 1982.
- Affiliate Professor, History
Getoor, Lise Regular Member
Ph.D., Stanford, 2001
- Associate Professor, Computer Science
554
Ghodssi, Reza Regular Member
B.S., University of Wisconsin-Madison,
1990; M.S., 1992; Ph.D., 1996.
- Director, Systems Research, Institute for
- Professor, Engineering: Systems
Engineering
- Professor, Engineering: Electrical &
Computer Engineering
- Affiliate Professor, Engineering:
Materials Science and Engineering
- Affiliate Associate Professor,
Engineering: Bioengineering
Gholampour, Amin Regular Member
Ph.D., University of British Columbia,
2007
- Assistant Professor, Mathematics
Gibson, Robert L. Regular Member
B.M., University of Miami, 1972; M.M.,
Catholic University of America, 1975;
D.M.A., University of Maryland-College
Park, 1980.
- Director, Music
- Professor, Music
Gilbert, James B. Regular Member
B.A., Carleton College, 1961; M.A.,
University of Wisconsin-Madison, 1963;
Ph.D., 1966.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Distinguished University Professor,
History
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Affiliate Professor, American Studies
Gill, Douglas E. Regular Member
B.S., Marietta College, 1965;
M.A., University of Michigan-Ann Arbor,
1967; Ph.D., 1971.
- Professor, Biological Sciences
Gill, Meredith J. Regular Member
B.A., University of Melbourne, 1980; M.A.,
Princeton University, 1985; Ph.D.,
Princeton University, 1992.
- Associate Professor, Art History and
Archaeology
Gill, Stanton Andrew Regular
Member
B.S., University of Maryland-College Park,
1974; M.S., 1980.
- Principal Agent, CES - Central Maryland
Resource and Education Center
- Principal Agent, Cooperative Extension
Service
Gillyard, Angelisa Regular Member
B.S., Spelman College, 1996; M.S.,
Georgia Institute of Technology, 1998
- Assistant Professor, Business and
Management
Gilson, Michael Regular Member
Ph.D. Columbia University 1988
- Professor, Chemical Physics
- Affiliate Professor, Biological Sciences
Gimpel, James G. Regular Member
B.A., Drake University, 1984;M.A.,
University of Toronto, 1985; Ph.D.,
University of Chicago, 1990.
- Professor, Government and Politics
Giovacchini, Saverio Regular
Member
Ph.D., New York University, 1998.
- Associate Professor, History
Girvan, Michelle Regular Member
B.S., MIT, 1999; Ph.D., Cornell University
2003;
- Assistant Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Assistant Professor, Physics
Glasper, Erica Regular Member
CV: B.A. Psychology/Biology, Randolph-
Macon College M.A., Psychology, Ohio
State University Ph.D., Psychology and
Behavioral Neuroscience, Ohio State
University
- Assistant Professor, Psychology
Glass, James M. Regular Member
B.A., University of California-Berkeley,
1961; M.A., 1964; Ph.D., 1970.
- Distinguished Scholar-Teacher,
Government and Politics
- Professor, Government and Politics
Glaz, Harland M. Regular Member
B.A., University of Pennsylvania, 1971;
M.A., University of California-Berkeley,
1975; Ph.D., 1977.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Mathematics
Glenn, D. Scott Regular Member
B.S., University of Kentucky, 1976; Ph.D.,
1980.
- Associate Professor, Plant Science
Glibert, Patricia M. Regular Member
B.A., Skidmore College, 1974; M.S.,
University of New Hampshire, 1976;
Ph.D. .Harvard University, 1982.
- Professor, Marine-Estuarine-
Environmental Sciences
Glick, Arnold J. Regular Member
B.A., City University of New York-Brooklyn
College, 1955; Ph.D., University of
Maryland-College Park, 1961.
- Professor Emeritus, Physics
Gligor, Virgil D. Regular Member
B.S., University of California-Berkeley,
1972; M.S., 1973; Ph.D., 1976.
- Research Professor, Engineering:
Electrical & Computer Engineering
Gloeckler, George Regular Member
B.S., University of Chicago, 1960; M.S.,
1961; Ph.D., 1965.
- Distinguished University Professor,
Physics
- Professor Emeritus, Physics
Glover, Elbert D. Regular Member
B.A., Texas Tech University, 1969; M.A.,
Texas A&l University, 1972; Ph.D., Texas
Woman's University, 1977
- Chair, Public Health: Behavioral and
Community Health Ph.D.
- Professor, Public Health: Behavioral and
Community Health Ph.D.
- Professor, Public Health: Master of
Public Health-Community Health
Education
Gluckstern, Robert L. Regular
Member
B.E.E., City University of New York-City
College, 1944; Ph.D., Massachusetts
Institute of Technology, 1948.
- President Emeritus, Distinguished
Faculty
- Professor Emeritus, Physics
- Senior Research Scientist, Physics
Gniesha Dinwiddie Regular Member
- Assistant Professor, Afro-American
Studies
Golbeck, Jen Regular Member
B.A., B.S., University of Chicago, 1999;
M.S., University of Chicago, 2001 ; Ph.D.,
University of Maryland, College Park,
2005.
- Assistant Professor, Library Science
- Assistant Professor, Information Studies
- Assistant Professor, Human-Computer
Interaction
- Affiliate Assistant Professor, Computer
Science
Golbeck, Jennifer Regular Member
- Assistant Professor, Information
Management
Gold, Paul Regular Member
B.A., Wesleyan University Middletown,
CT, 1981 ; M.A., University of Texas,
Austin, 1984; Ph.D., University of
Missouri-Columbia, MO, 1994.
-Assistant Professor, Education:
Counseling and Personnel Services
Gold, Robert S. Regular Member
B.S., State University of New York-
College at Brockport, 1 969; M.S., 1 971 ;
Ph.D. .University of Oregon-Eugene, 1 976;
Doc.P.H., University of Texas, 1980.
- Professor, Public Health: Behavioral and
Community Health Ph.D.
- Professor, Public Health: Master of
Public Health-Community Health
Education
Golden, Bruce L. Regular Member
B.A., University of Pennsylvania, 1972;
S.M., Massachusetts Institute of
Technology, 1974; Ph.D., 1976.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Business and Management
-Affiliate Professor, Engineering: Civil and
Environmental Engineering
Goldenbaum, George C. Regular
Member
B.S., Muhlenberg College, 1957; Ph.D.,
University of Maryland-College Park,
1966.
- Professor Emeritus, Physics
Goldfarb, Brent Regular Member
B.A., Tel Aviv University, 1995; M.S., Tel
Aviv University, 1996; Ph.D., Stanford
University, 2002.
-Assistant Professor, Business and
Management
Goldhaber, Jacob K. Regular
Member
B.A., City University of New York-Brooklyn
College, 1944; M.A., Harvard
University,1945; Ph.D., University of
Wisconsin-Madison, 1950.
- Professor Emeritus, Mathematics
Goldhar, Julius Regular Member
B.S., Massachusetts Institute of
Technology, 1971; Ph.D., 1976.
- Professor, Engineering: Electrical &
Computer Engineering
Goldman, William M. Regular
Member
A.B., Princeton University, 1977; Ph.D.,
University of California-Berkeley, 1980.
- Distinguished Scholar-Teacher,
Mathematics
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Mathematics
Goldscheider, Frances Regular
Member
B.A., University of Pennsylvania, 1965;
Ph.D., University of Pennsylvania, 1 971 .
- Professor, Family Science
555
Goldsman, Neil Regular Member
B.A., Cornell University, 1981; M.EIect.E.,
1983; Ph.D., 1988.
- Professor, Engineering: Electrical &
Computer Engineering
- Professor, Engineering: Reliability
Engineering
Goldstein, Irwin L. Regular Member
B.B.A., City University of New York-
Baruch College, 1959; M.A., University of
Maryland-College Park, 1962; Ph.D.,
1964.
- Dean, College of Behavioral and Social
Sciences
- Professor, College of Behavioral and
Social Sciences
- Professor, Psychology
Gollub, Lewis R. Regular Member
A.B., University of Pennsylvania, 1955;
Ph.D., Harvard University, 1958.
- Professor Emeritus, Psychology
Golonka, Ewa Regular Member
B.A., Wroclaw University, 1985; M.A.,
University of Iowa, 1992; Ph.D., Bryn
Mawr, 2000.
- Assistant Research Scientist, Second
Language Acquisition-Ph.D.
Golubchik, Leana Regular Member
B.S., California State University-Los
Angeles, 1989; M.S., 1992; Ph.D., 1995.
- Assistant Professor, Advanced
Computer Studies, Institute for
Gomery, Douglas Regular Member
B.S., Lehigh University, 1967; M.A.,
University of Wisconsin-Madison, 1970;
Ph.D., 1975.
- Professor Emeritus, Journalism
Gomez, Romel Del
Rosario Regular Member
B.S., University of the Philippines-Quezon,
1980; M.S., Wayne State University, 1984
M.S., University of Maryland-College Park,
1987; Ph.D., 1990.
- Professor, Engineering: Electrical &
Computer Engineering
Gonen, Einat Regular Member
B.A., Hebrew University of Jerusalem,
1994; M.A., 1999.
- Instructor, Jewish Studies
Gonzalez, Nancie L. Regular
Member
B.S., University of North Dakota-Grand
Forks, 1 951 ; M.A., University of Michigan-
Ann Arbor, 1955; Ph.D., 1959.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emerita, Anthropology
Goodings, Deborah J. Regular
Member
B.S., University of Toronto, 1975; Ph.D.,
Cambridge University, 1979.
- Professor, Engineering: Civil and
Environmental Engineering
Goodman, Jordan A. Regular
Member
B.S., University of Maryland-College Park,
1973 M.S., 1975; Ph.D., 1978.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor, Physics
Gopal, Anandasivan Regular
Member
M.S., Birla Institute of Technology &
Science, 1993; M.S., University of North
Carolina-Chapel Hill, 1995; M.S.,
Carnegie-Mellon University, 1997; Ph.D.,
Carnegie-Mellon University, 2000.
- Assistant Professor, Business and
Management
Gor, Kira Regular Member
M.A., Leningrad State University, 1977;
Ph.D., 1983; Ph.D., Bryn Mawr College,
1993.
- Director, Second Language Acquisition-
Ph.D.
- Associate Professor, Second Language
Acquisition-Ph.D.
- Associate Professor, Russian Language
and Literature
- Associate Professor, Asian and East
European Languages and Cultures
Gordon Willis Regular Member
1985, Cognitive Psychology, Northwestern
University
- Adjunct Associate Professor, Survey
Methodology
Gordon, Lawrence A. Regular
Member
B.S., State University of New York-Albany,
1966; M.B.A., 1967; Ph.D.,Rennselaer
Polytechnic Institute, 1973.
- Professor, Business and Management
Gordon-Salant, Sandra Regular
Member
B.A., State University of New York-Albany,
1974; M.S., Northwestern University, 1976
Ph.D., 1981.
- Professor, Neuroscience and Cognitive
Science
- Professor, Clinical Audiology
- Professor, Hearing and Speech
Sciences
Gosain, Sanjay Regular Member
B.E., University of Roorkee, 1989; M.B.A.,
Indian Institute of Management-Vastrapur,
1993; Ph.D., University of Southern
California-Los Angeles, 2000
- Assistant Professor, Business and
Management
Gottfredson, Denise C. Regular
Member
B.A., Fairleigh Dickinson University-
Florham Madison, 1974; Ph.D., Johns
Hopkins University, 1980.
- Professor, Criminology and Criminal
Justice
Gottfredson, Gary D. Regular
Member
B.A., (Psychology) University of California
at Berkeley, 1969. M.A., (Psychology) The
Johns Hopkins University, 1975; Ph.D.,
(Psychology) The Johns Hopkins
University, 1976.
- Professor, Education: Counseling and
Personnel Services
Gouin, Francis R. Regular Member
B.S., University of New Hampshire-
Durham, 1962; M.S., University of
Maryland-College Park, 1965; Ph.D.,
1969.
- Professor Emeritus, Horticulture and
Landscape Architecture
Goulias, Dimitrios Regular Member
Laurea.Universita Degli Studi, 1987; M.S.,
University of Michigan-Ann Arbor,
1988;Ph.D., University of Texas-Austin,
1992.
- Associate Professor, Engineering: Civil
and Environmental Engineering
Gournay, Isabelle J. Regular
Member
M.A., Yale University, 1981;M.Ph., 1982:
Ph.D., 1989.
- Associate Professor, Architecture
- Associate Professor, Urban and
Regional Planning and Design
- Affiliate Associate Professor, American
Studies
Goward, Samuel N. Regular Member
B.A., Boston University, 1967; M.A., 1974;
Ph.D., Indiana State University-Terre
Haute, 1979.
- Professor, Geography
Gowen, Bradford P. Regular
Member
B.Mus., Eastman School of Music, 1968;
M.Mus., 1969.
- Associate Professor, Music
Goyal, Manu Regular Member
B.Mech.E., Delhi College of Engineering,
1996; M.B.A., Indian Institute of
Technology, 1998.
- Lecturer, Business and Management
Graber, Mark A. Regular Member
A.B., Dartmouth College, 1978; J.D.,
Columbia University-Law School, 1981;
M.A., Yale University, 1986; Ph.D., 1988.
- Affiliate Associate Professor, American
Studies
Graeber, Anna O. Regular Member
B.S., State University of New York-Buffalo,
1964; M.S. .Indiana State University-Terre
Haute, 1965; Ed.D., Columbia University-
Teachers College, 1974.
-Associate Chair, Education: Curriculum
and Instruction
- Associate Professor Emeritus,
Education: Curriculum and Instruction
Granatstein, Victor L. Regular
Member
B.S., Columbia University, 1960;M.S.,
1961; Ph.D., 1963.
- Professor, Engineering: Electrical &
Computer Engineering
Grandner, Deborah Adjunct Member
B.S., University of Bridgeport, 1975; M.A.,
University of Maryland, 1980; Ph.D.,
University of Maryland , 1991 .
- Affiliate Assistant Professor, Education:
Counseling and Personnel Services
Gravelle, Aaron P. Regular Member
B.S., Idaho State University, 1992;
M.S., Ohio State University-Columbus,
1996.
- Agent, Extension Service St. Marys
Gray, Kurt Regular Member
B.S., University of Waterloo, ON, 2003;
Ph.D., Harvard University, 2010.
- Assistant Professor, Psychology
Grebmeier, Jacqueline Regular
Member
B.S., University of California Davis, 1977;
M.S., Stanford University, 1979; M.S.,
University of Washington, 1983; Ph.D.,
University of Alaska, 1 987.
- Research Professor, Marine-Estuarine-
Environmental Sciences
Grebogi, Celso Regular Member
B.S., Federal University of Parana-Brazil,
1970; M.S., University of Maryland-
College Park, 1975; Ph.D., 1978.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
Green, Kerry Regular Member
B.S., College of William and Mary; M.A.,
University of Maryland; Ph.D., Johns
Hopkins University
-Assistant Professor, Public Health:
Master of Public Health-Community
Health Education
556
- Assistant Professor, Public Health:
Behavioral and Community Health Ph.D.
Green, Kim Adjunct Member
Ph.D. Virology
- Adjunct Professor, Biological Sciences
Green, Paul S. Regular Member
B.A., Cornell University, 1959;
M.A., Harvard University, 1960; Ph.D.,
Cornell University, 1964.
- Professor Emeritus, Mathematics
Greenberg, James D. Regular
Member
A.B., Brown University, 1964; M.A.,
University of Connecticut-Storrs, 1966;
Ph.D., 1969.
- Assistant to the Dean, College of
Education
Greenberg, Jerrold S. Regular
Member
B.S., City University of New York-City
College, 1964; M.S., 1965; Ed.D.,
Syracuse University, 1969.
- Affiliate Professor, Aging, Center on
Greenberg, Kenneth R. Regular
Member
B.S.,Ohio State University-Columbus,
1951; M.A., 1952; Ph.D., Case Western
Reserve University, 1960.
- Professor Emeritus, Education:
Counseling and Personnel Services
Greenberg, Oscar
Wallace Regular Member
B.S., Rutgers University-New Brunswick,
1952; M.A., Princeton University, 1954;
Ph.D., 1957.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Physics
Greene, David L. Regular Member
B.S., University of Maryland-College Park,
1964; M.S., 1971.
- Principal Agent Emeritus, Cooperative
Extension Service
Greene, Jean A. Regular Member
B.S., North Carolina Central University,
1964; M.S., University of Maryland-
College Park, 1977.
- Principal Agent, CES - UM Eastern
Shore
- Principal Agent, Cooperative Extension
Service
Greene, Julie Regular Member
PhD, Yale University, 1990
- Associate Professor, History
Greene, Madeleine Regular Member
B.S., State College of Iowa, 1960; M.S.,
Hood College, 1988.
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service Howard
Greene, Richard L. Regular Member
B.S., Massachusetts Institute of
Technology, 1960; Ph.D., Stanford
University, 1967.
- Director, Physics
- Director, Superconductivity Research,
Center for
- Professor, Physics
Greenhow, Christine Regular
Member
B.A., Dartmouth College, 1992; M.Ed.,
Boston College, 1994; Ed.D., Harvard
University, 2006
- Assistant Professor, Library Science
- Assistant Professor, Education:
Curriculum and Instruction
- DEFAULT, Education: Curriculum and
Instruction
Greenspan, Patricia S. Regular
Member
B.A., Columbia University, 1966;
M.A., Harvard University, 1968; Ph.D.,
1972.
- Professor, Philosophy
Greer, Sandra C. Regular Member
B.S., Furman University, 1966;
M.S. .University of Chicago, 1968; Ph.D.,
1969.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor Emerita, Engineering:
Chemical Engineering
- Professor Emeritus, Chemistry
Greer, Thomas V. Regular Member
B.A., University of Texas-Austin, 1953;
M.B.A., Ohio State University-Columbus,
1957 Ph.D., University of Texas-Austin,
1964.
- Professor Emeritus, Business and
Management
Griem, Hans R. Regular Member
Ph.D., Univ. of Gvttingen, 1953
- Professor Emeritus, Physics
Griffin, James J. Regular Member
B.S., Villanova University, 1952;
M.S. .Princeton University, 1955; Ph.D.,
1956.
- Professor, Physics
Griffith, Bartley P. Special Member
BA, Biology Bucknell University I970 M.D.
Jefferson Medical College 1974
- Adjunct Professor, Engineering:
Bioengineering
Grillakis, Manoussos Regular
Member
B.A., National Technical University of
Athens, 1981; M.A., Brown University,
1983; Ph.D., 1986.
- Professor, Mathematics
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Grim, Samuel O. Regular Member
B.S., Franklin and Marshall College,1956;
Ph.D., Massachusetts Institute of
Technology, 1960.
- Professor Emeritus, Chemistry
Grimm, Curtis M. Regular Member
B.A., University of Wisconsin, 1975; M.A.,
University of California, 1980;
Ph.D., 1983.
- Professor, Business and Management
Grimsted, David A. Regular Member
A.B., Harvard University, 1957;
M.A., University of California-Berkeley,
1958; Ph.D., 1963.
- Associate Professor, History
- Affiliate Associate Professor, American
Studies
Grob, Douglas Regular Member
A.B., University of Pennsylvania, 1985;
M.A., Stanford University, 1998; Ph.D.,
Stanford University, 2001
- Assistant Professor, Government and
Politics
Grodsky, Semyon Adjunct Member
M.S., Institute of Physics and Technology,
1981, Ph.D., Marine Hydrophysical
Institute, Sevastopol, USSR, 1986
- Senior Research Scientist, Atmospheric
and Oceanic Science
Grossman, Maxine Regular Member
A.B., Duke University, 1990; M.A., Ph.D.,
University of Pennsylvania, 2000.
- Associate Professor, Jewish Studies
- Affiliate Associate Professor, Women's
Studies
Grove, Karsten Regular Member
Cand. Scient, University of Aarhus, 1971;
Lie. Scient., 1974.
- Professor Emeritus, Mathematics
Gruner, Daniel Regular Member
A.B., Hamilton College, 1993; Ph.D.,
University of Hawai'i, 2004.
- Assistant Professor, Biological Sciences
- Assistant Professor, Entomology
Grunig, James E. Regular Member
B.S., Iowa State University, 1964; M.S.,
University of Wisconsin 1966; Ph.D.,
1968.
- Professor Emeritus, Communication
Grunig, Larissa A. Regular Member
B.A., North Dakota State University, 1967;
M.A., University of Maryland 1978; Ph.D.,
1985.
- Professor Emerita, Communication
Grutzmacher, Stephanie Regular
Member
B.S., Syracuse University, 2002; M.S.,
Family Studies, University of Maryland,
2004; Ph.D., Family Studies, University of
Maryland, 2007
- Faculty Research Associate, Family
Science
- Faculty Research Associate, Family &
Consumer Sciences
Grybauskas, Arvydas P. Regular
Member
B.S., University of lllinois-
Urbana/Champaign, 1976; M.S., 1977;
Ph.D., Oregon State University, 1983.
- Associate Professor, Plant Science
Guenzler-Stevens, Marsha Adjunct
Member
BA, Illinois Wesleyan University,
Bloomington 1978; MS, Miami University,
Oxford, Ohio 1982; PhD, University of
Maryland, College Park 1993.QQ
- Affiliate Assistant Professor, Education:
Counseling and Personnel Services
Guerrero, Perla Regular Member
B.A., University of Central Arkansas,
2003; M.A., University of Southern
California, 2007; Ph.D., University of
Southern California, 2010.
- Assistant Professor, American Studies
Guimbretiere, Francois Regular
Member
Ph.D., Stanford University, 2002
- Adjunct Associate Professor, Computer
Science
Guiteras, Raymond P. Regular
Member
A.B., Amherst College, 1998; Ph.D.,
Massachusetts Institute of Technology,
2008.
- Assistant Professor, Economics
Gulick, Denny Regular Member
B.A., Oberlin College, 1958; M.A., Yale
University,1960; Ph.D., 1963.
- Professor, Mathematics
Gullickson, Gay L. Regular Member
B.A., Pomona College, 1965; B.D., Yale
University, 1968; Ph.D. .University of North
Carolina-Chapel Hill, 1978.
- Professor, History
- Affiliate Professor, Women's Studies
557
Gunther, Paul L. Regular Member
B.S., University of Maryland-Eastern
Shore, 1974; M.S., University of Maryland-
College Park, 1982.
- Director, Extension Service Queen
Annes
- Senior Agent, Extension Service Queen
Annes
- Senior Agent, Cooperative Extension
Service
Gupta, Anil K. Regular Member
B.Tech, Indian Institute of Technology,
1970; Post Graduate Diploma in
Management, Indian Institute of
Management, 1972; D.B.A., Harvard
Business School
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Business and Management
Gupta, Ashwani K. Regular Member
B.Sc, Punjab University, 1966;
M.Sc, University of Southampton, 1970;
Ph.D., University of Sheffield, 1973;
D.Sc.,1986.
- Distinguished University Professor,
Chemical Physics
- Professor, Engineering: Mechanical
Engineering
Gupta, Satyandra K. Regular
Member
B.E., University of Roorkee, 1988; M.
Technology, Indian Institute of
Technology-Delhi, 1989; Ph.D. .University
of Maryland-College Park, 1994.
- Professor, Engineering: Mechanical
Engineering
- Professor, Engineering: Systems
Engineering
- Associate Professor, Systems Research,
Institute for
Gurr, Ted Robert Regular Member
B.A., Reed College, 1957; Ph.D., New
York University, 1965.
- Distinguished University Professor,
Government and Politics
- Professor Emeritus, Government and
Politics
Guthrie, John T. Regular Member
B.A., Earlham College, 1964; M.A.,
University of Illinois-Urbana/Champaign,
1966; Ph.D., 1968.
- Professor Emeritus, Education: Human
Development
Haag, Eric S. Regular Member
B.A., Oberlin College, 1990; Ph.D.,
Indiana University, Bloomington, 1997
- Associate Professor, Biological
Sciences
Haarmann, Hendrik J. Regular
Member
B.S., University of Nijmegen, 1983; Ph.D.,
1993.
- Associate Research Scientist, Second
Language Acquisition-Ph.D.
Haas, Theodore A. Regular Member
B.S., University of Delaware, 1971; M.S.,
University of Maryland-College Park,
1982.
- Senior Agent, CES - Wye Resource and
Education Center
- Senior Agent, Cooperative Extension
Service
Hacquard, Valentine Regular
Member
B.A., University of California, Los Angeles,
2000; Ph.D., Massachusetts Institute of
Technology, 2006
- Assistant Professor, Neuroscience and
Cognitive Science
Hadden, Jennifer Regular Member
B.A., Smith College, 2005; M.A., Cornell
University, 2008; Ph.D., Cornell
University, 201 1 .
- Assistant Professor, Government and
Politics
Hadley, Nicholas J. Regular Member
B.S., Yale University, 1976; M.A.,
University of California-Berkeley, 1978;
Ph.D., 1983.
- Professor, Physics
Hadley, Nicholas John Regular
Member
Ph.D. .University of California, 1983 M.A.,
University of California, 1978 B.S., Yale
University, 1976
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Hagberg, James M. Regular Member
B.A.,Carthage College, 1972; M.S.,
University of Wisconsin-Madison, 1974;
Ph.D., 1976.
- Professor, Health, Physical &
Recreational Education
- Professor, Kinesiology
- Professor, Health, Physical &
Recreational Education
- Affiliate Professor, Aging, Center on
Hage, Jerald Regular Member
B.B.A., University of Wisconsin-Madison,
1955; Ph.D., Columbia University, 1963.
- Professor Emeritus, Sociology
- Professor Emeritus, Distinguished
Faculty
Hage, Madeleine C. Regular Member
Agregation, University of Paris, 1965;
Ph.D., University of Nancy l-France,1973.
- Professor Emerita, French Language
and Literature
- Professor Emerita, Distinguished Faculty
- Professor Emerita, Modern French
Studies
Haggh, Barbara H. Regular Member
B.Mus., University of Illinois-
Urbana/Champaign, 1978; M.Mus., 1980;
Ph.D., 1988.
- Professor, Music
Haghani, Ali Regular Member
B.S.,Shiraz University, 1976; M.S.,
Northwestern University, 1982; Ph.D.,
1986.
GCEN Academic Advisor for Civil and
Environmental Engineering
- Chair, Engineering: Civil and
Environmental Engineering
- Professor, Engineering: Civil and
Environmental Engineering
- Professor, Engineering: Professional
Master of Engineering
Hahn, Trudi Bellardo Regular
Member
B.A., University of Kentucky, 1971; MSLS,
University of Kentucky, 1976; Ph.D.
Drexel University, 1984.
- Professor of Practice, Library Science
- Professor of Practice, Information
Studies
Haines, Thomas J. Regular Member
B.S., University of Michigan, 1 990; S.M.,
University of Chicago, 1991; Ph.D.,
University of Chicago, 1997.
- Professor, Mathematics
Haldey, Olga Regular Member
B.M. in Musicology, Moscow Conservatory
College, Russia; M.M in Music Education,
University of Sydney, Australia; Ph.D. in
Musicology, Ohio State University
- Assistant Professor, Music
Hall, Carter R. Regular Member
B.S., Virginia Tech, 1996; Ph.D. Harvard
University, 2002;
- Assistant Professor, Physics
Hall, John E. Regular Member
B.S., University of Illinois-Chicago, 1973;
M.S., 1975.
- Director, Extension Service Kent
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service Kent
Hall, Neil Adjunct Member
Ph.D. University of Liverpool, U.K., 1997
- Adjunct Assistant Professor, Biological
Sciences
Hall, William S. Regular Member
A.B., Roosevelt University-Chicago, 1957;
Ph.D., University of Chicago, 1968.
- Professor Emeritus, Psychology
Hallett, Judith P. Regular Member
A.B., Wellesley College, 1 966;
A.M. .Harvard University, 1967; Ph.D.,
1971.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Classics
- Affiliate Professor, Women's Studies
Halman, Robert D. Regular Member
B.S., University of Georgia, 1974;
M.S.,Clemson University, 1985.
- Director, Extension Service Harford
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service Harford
Halperin, Stephen Regular Member
B.Sc, University of Toronto, 1965; M.Sc,
1966; Ph.D., Cornell University, 1970.
- Dean, College of Computer,
Mathematical, and Physical Sciences
- Professor, College of Computer,
Mathematical, and Physical Sciences
- Professor, Mathematics
Haltiwanger, John C. Regular
Member
Sc.B., Brown University, 1977;
Ph.D., Johns Hopkins University, 1981.
- Distinguished University Professor,
Economics
Ham, John Regular Member
B.A., University of Toronto, 1974; Ph.D.,
Princeton University, 1980.
- Professor, Economics
Hamilton, David H. Regular Member
B.Sc, Tasmania University, 1977; M.Sc,
University of London, 1978; Ph.D., 1980.
- Professor, Mathematics
Hamilton, Donna B. Regular
Member
B.A., Saint Olaf College, 1963;
Ph.D. .University of Wisconsin-Madison,
1968.
- Professor, English Language and
Literature
Hamilton, Douglas C. Regular
Member
A.B., University of Kansas, 1969;
S.M., University of Chicago, 1971; Ph.D.,
1977.
- Professor, Physics
Hamilton, Douglas P. Regular
Member
B.S., Stanford University, 1988; M.S.,
Cornell University, 1990; Ph.D., 1994.
- Professor, Astronomy
558
Hamilton, Gary D. Regular Member
B.A., Saint Olaf College, 1 962;
M.A., University of Wisconsin-Madison,
1965; Ph.D., 1968.
- Associate Professor Emeritus, English
Language and Literature
Hamilton, Joanne B. Regular
Member
B.S., University of Maryland-College Park,
1975; M.S., 1979.
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service Anne
Arundel
Hamilton, L. Martin, Jr. Regular
Member
B.S., Towson University, 1 971 ;
M.S. .Western Maryland College, 1987.
- Director, Extension Service Howard
- Senior Agent, Extension Service Howard
- Senior Agent, Cooperative Extension
Service
Hamilton, Rebecca
Warme Regular Member
B.S., Cornell University, 1991; Ph.D.,
Massachusetts Institute of Technology,
2000.
- Assistant Professor, Business and
Management
Hamilton, V. Lee Regular Member
B.A., College of William & Mary, 1970;
Ph.D., Harvard University, 1975.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
Hammer, David M. Regular Member
B.A., Princeton University, 1982; M.A.,
University of California-Berkeley, 1987;
Ph.D., 1991.
- Professor, Physics
Hammond, Eugene R. Regular
Member
B.A., University of Notre Dame, 1969;
B.A.,Oxford University, 1973; Ph.D., Yale
University, 1977.
- Associate Professor Emeritus, English
Language and Literature
Hample, Dale J. Regular Member
B.S., Ohio State University, 1971; A.M.,
University of Illinois, 1 972; Ph.D.,
University of Illinois Urbana-Champaign,
1975.
- Associate Professor, Communication
Hampton, Robert L. Regular
Member
B.A., Princeton University, 1970; M.A.,
University of Michigan-Ann Arbor,
1971;Ph.D., 1977.
- Associate Provost, Academic Affairs
- Dean, Undergraduate Studies
- Professor, Undergraduate Studies
- Professor, Academic Affairs
Hamza, Iqbal Regular Member
B.S., Bombay University, 1989; M.S.,
1991; PhD, SUNY, Buffalo, 1998
- Associate Professor, Animal Sciences
- Affiliate Professor, Biological Sciences
Han, Bongtae Regular Member
B.S., Seoul National University,1981 ;
M.S., 1983; Ph.D., Virginia Polytechnic
Institute & State University,1991.
- Professor, Engineering: Mechanical
Engineering
Hancock, Gregory R. Regular
Member
B.S., University of Washington, 1986;
B.S., 1986; Secondary Teaching
Certificate, 1987; M.Ed., 1989; Ph.D.,
1991.
- Chair, Education: Measurement,
Statistics and Evaluation
- Professor, Education: Measurement,
Statistics and Evaluation
Handelman, Susan A. Regular
Member
B.A., Smith College, 1971 ;M.A., State
University of New York-Buffalo, 1977;
Ph.D., 1979.
- Distinguished Scholar-Teacher,
Distinguished Faculty
Hanges, Paul J. Regular Member
B.A., New York University, 1980;
M.A., University of Akron, 1984; Ph.D.,
1987.
- Associate Chair, Psychology
- Professor, Psychology
Hanhardt, Christina
Benes Regular Member
B.A., Brown University, 1994; M.A., San
Francisco State University, 1998; Ph.D.,
New York University, 2007.
- Assistant Professor, American Studies
- Affiliate Assistant Professor, Women's
Studies
Hanmer, Michael J. Regular Member
B.A., SUNY-Geneseo, 1995; M.S.,
University of Wisconsin, 1996; M.A.,
University of Michigan, 2000; Ph.D.,
University of Michigan, 2004
- Associate Professor, Government and
Politics
Hanna, William John Regular
Member
B.S., University of California-Los Angeles,
1957; M.A., 1960; Ph.D., 1962.
- Professor, Career Center
- Professor, Urban Studies and Planning
- Professor, Urban and Regional Planning
and Design
Hanninen, Dora A. Regular Member
B.A., University of Virginia, 1983;M.A.,
University of Rochester, 1988; Ph.D.,
1996.
- Associate Professor, Music
Hansen, Derek Regular Member
- Assistant Professor, Library Science
- Assistant Professor, Information Studies
Hansen, J. Norman Regular Member
B.A., Drake University, 1964;
Ph.D. .University of California-Los
Angeles, 1968.
- Professor Emeritus, Biochemistry
- Professor Emeritus, Chemistry
Hanson, Christopher Regular
Member
B.A., Reed College, 1975; M.A., Oxford
University, 1984; Ph.D., University of
North Carolina at Chapel Hill, 1999.
- Associate Professor, Journalism
Hanson, Christopher T. Regular
Member
B.A., Reed College, 1975; J.D.,New York
University, 1976; Ph.D., University of
North Carolina-Chapel Hill, 1999.
- Assistant Professor, College of
Journalism
Hanson, James C. Regular Member
B.S., University of Maryland-College Park,
1972; M.Sc, University of Minnesota-St.
Paul, 1974; M.Sc, University of Maryland-
College Park, 1978; Ph.D., 1983.
- Assistant Director, Special Agriculture
Programs
- Associate Professor, Agricultural and
Resource Economics
- Associate Professor, Special Agriculture
Programs
Hao, Oliver J. Regular Member
B.S., Cheng Kung University-Taiwan,
1968; M.S., Colorado State University,
1971; Ph.D., University of California-
Berkeley, 1982.
- Professor, Engineering: Civil and
Environmental Engineering
Haquard, Valentine Regular Member
B.A., University of California - Los
Angeles, 2000; Ph.D., Massachusetts
Institute of Technology, 2006.
- Assistant Professor, Linguistics
Hardie, Ian W. Regular Member
B.S., University of California-Davis, 1960;
Ph.D., University of California-Berkeley,
1965.
- Professor Emeritus, Agricultural and
Resource Economics
Harding, Lawrence W., Jr. Regular
Member
B.A., Humboldt State University, 1972;
Ph.D., Stanford University, 1978.
- Research Professor, Marine-Estuarine-
Environmental Sciences
Hardy, Robert C. Regular Member
B.S.Ed., Bucknell University, 1961;
M.S. Ed. .Indiana University-Bloomington,
1964; Ed.D., 1969.
- Professor Emeritus, Education: Human
Development
Harger, Robert O. Regular Member
B.S., University of Michigan-Ann Arbor,
1955; M.S., 1959; Ph.D., 1961
- Professor Emeritus, Engineering:
Electrical & Computer Engineering
Hargrove, June E. Regular Member
B.A., University of California-Berkeley,
1968; M.A., New York University-Institute
of Fine Arts, 1 971 ; Ph.D., New York
University-Institute of Fine Arts, 1976.
- Professor, Art History and Archaeology
Harley, Sharon Regular Member
B.A., Saint Mary of the Woods College,
1970; M.A.,Antioch College, 1971; Ph.D.,
Howard University, 1981.
- Associate Professor, Afro-American
Studies
- Affiliate Associate Professor, American
Studies
- Affiliate Associate Professor, Women's
Studies
Harrell, Reginal M. Regular Member
B.S., Clemson University, 1975; M.S.,
1977; Ph.D., University of South Carolina,
1984.
- Director, CES - Eastern Region
Extension Director
- Professor, Enviromental Science and
Technology
- Professor, CES - Eastern Region
Extension Director
- Adjunct Professor, Sea Grant Extension
Harring, Jeffrey R. Regular Member
B.A., Macalester College, 1986; M.S.,
University of Minnesota-Twin Cities, 2004;
Ph.D., University of Minnesota-Twin
Cities, 2005.
-Assistant Professor, Education:
Measurement, Statistics and Evaluation
Harrington, J. Patrick Regular
Member
B.S., University of Chicago, 1961; M.S.,
Ohio State University-Columbus, 1964;
Ph.D., 1967.
- Professor Emeritus, Astronomy
559
Harris, Andrew I. Regular Member
B.S., University of California-Davis,
1979;M.A., University of California-
Berkeley, 1982; Ph.D., 1986.
- Professor, Astronomy
- Affiliate Professor, Engineering:
Electrical & Computer Engineering
Harris, Curtis C, Jr. Regular
Member
B.S., University of Florida, 1956; M.A.,
Harvard University, 1959; Ph.D., Harvard
University, 1960.
- Professor Emeritus, Economics
Harris, James F. Regular Member
B.S., Loyola University of Chicago, 1962;
M.S., University of Wisconsin-Madison,
1964; Ph.D., 1968.
- Dean, College of Arts and Humanities
- Professor, History
Harris, Karen R. Regular Member
B.A., University of Northern Colorado,
1974; M.A., University of Nebraska-
Lincoln, 1978; Ed.D., Auburn University,
1981.
- Distinguished Scholar-Teacher,
Distinguished Faculty
Harris, Lora Regular Member
B.A., Smith College, 1998; Ph.D.,
University of Rhode Island, 2006.
- Assistant Professor, Marine-Estuarine-
Environmental Sciences
Harrison, Regina Regular Member
B.S., University of Massachusetts-
Amherst, 1965; M.A., University of lllinois-
Urbana/Champaign, 1973; Ph.D., 1979.
- Professor, Spanish and Portuguese
Languages and Literatures
- Professor, Comparative Literature
- Affiliate Professor, Anthropology
- Affiliate Professor, American Studies
Hartsock, Thomas G. Regular
Member
B.S., Pennsylvania State University-
University Park, 1968; M.S., 1969; Ph.D.,
1974.
- Director, Institute of Applied Agriculture
- Associate Professor, Institute of Applied
Agriculture
Harwood, William S. Adjunct
Member
B.S., University of Massachusetts-
Amherst, 1980; Ph.D., Purdue University,
1986.
- Assistant Dean, Undergraduate Studies
Haslem, John A. Regular Member
A.B.,Duke University, 1956; M.B.A.,
University of North Carolina, 1961 ;
Ph.D., 1967.
- Professor Emeritus, Business and
Management
Hassam, Adil B. Regular Member
M.A., Princeton University, 1976; Ph.D.,
1978.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Physics
Hatfield, Bradley D. Regular
Member
B.P.E., University of New Brunswick-
Fredericton, 1974; B.A.,1975; M.S.,
Pennsylvania State University-University
Park, 1976; M.S.A., Ohio University-
Athens, 1982; Ph.D., Pennsylvania State
University-
- Chair, Kinesiology
- Professor, Neuroscience and Cognitive
Science
- Professor, Kinesiology
- Affiliate Associate Professor, Aging,
Center on
Haufler, Virginia Ann Regular
Member
B.A., Pennsylvania State University-
University Park, 1979; M.A., Cornell
University, 1985; Ph.D., 1991.
- Associate Professor, Government and
Politics
Hawley, Willis D. Regular Member
B.A., University of California-Berkeley,
1960; M.A., 1963; Ph.D., 1970.
- Professor Emeritus, Education: Policy
and Leadership
Hawthorne, David Regular Member
B.S., Kent State University, 1 983;
B.A.,1983; M.S., North Carolina State
University, 1986; Ph.D., Cornell
University, 1993.
- Associate Professor, Biological
Sciences
- Associate Professor, Entomology
He, Xin Regular Member
B.S., Peking University, 2003 B.A., Peking
Univeristy, 2003 Ph.D., University of
Missouri, 2007
- Assistant Professor, Public Health:
Master of Public Health-Biostatistics
- Assistant Professor, Public Health:
Epidemiology Ph.D.
- Assistant Professor, Public Health:
Master of Public Health-Epidemiology
Healy, Dennis M. Regular Member
B.A. University of California-San Diego,
1980; Ph.D., 1986
- Professor, Mathemetics of Advanced
Industrial Technology
Hebert, Laura J. Baker Adjunct
Member
B.S., University of Maryland-College Park,
2001 ; M.S., California Institute of
Technology, 2004; Ph.D., 2008.
- Assistant Research Scientist, Geology
Hebert, Mitchell P. Regular Member
B.F.A., University of Wisconsin-
Milwaukee, 1980; M.F.A., University of
Washington, 1983.
- Professor, Theatre
Heflebower, Richard F.,
Jr. Regular Member
B.S.,Utah State University, 1981; M.S.,
1982.
- Senior Agent, CES - Western Maryland
Resource and Education Center
- Senior Agent, Cooperative Extension
Service
Heidelbach, Ruth A. Regular
Member
B.S., University of Maryland-College Park,
1949; M.Ed., University of Florida, 1957;
Ed.D., Teachers College, Columbia
University, 1967.
- Associate Professor Emerita, Education:
Curriculum and Instruction
Heins, Maurice H. Regular Member
A.B., Harvard University, 1937; A.M.,
1939; Ph.D., 1940; A.M., Brown
University, 1947.
- Professor Emeritus, Mathematics
Heisler, Martin O. Regular Member
B.A., University of California-Los Angeles,
1960; M.A., 1962; Ph.D., 1969.
- Professor Emeritus, Government and
Politics
Hellerstein, Judith K. Regular
Member
B.S., Brown University, 1987;
M.A., Harvard University, 1992; Ph.D.,
1994.
- Professor, Economics
Hellman, John Regular Member
B.S., University of Maryland-College Park,
1966; M.S., 1968; Ph.D., 1975.
- Professor Emeritus, Entomology
Helm, Ernest Eugene Regular
Member
B.Mus., Southeastern Louisiana
University-Hammond, 1950;
M.Ed. .Louisiana State University-
Alexandria, 1955; Ph.D. .North Texas
State University, 1 958.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emeritus, Music
Helz, George R. Regular Member
B.A., Princeton University, 1964; Ph.D.,
Pennsylvania State University-University
Park, 1970.
- Professor Emeritus, Chemistry
- Affiliate Professor, Geology
Helzer, Garry A. Regular Member
B.A., Portland State University, 1959;
M.A., Northwestern University, 1962;
Ph.D., 1964.
- Associate Professor Emeritus,
Mathematics
Hendershot, Gerry E. Adjunct
Member
B.A., University of Michigan-Ann Arbor,
1959; M.A., University of Chicago, 1964;
Ph.D., 1970.
- Statistician, National Center for Health
Statistics
Hendler, James A. Regular Member
B.S., Yale University, 1978; M.S.,
Southern Methodist University, 1982;
M.S., Brown University, 1983;
Ph.D., 1986.
- Professor, Advanced Computer Studies,
Institute for
-Affiliate Professor, Engineering:
Electrical & Computer Engineering
Henkel, Ramon E. Regular Member
Ph. B., University of North Dakota-Grand
Forks, 1958; M.A., University of Wisconsin-
Madison, 1961; Ph.D., 1967.
- Associate Professor Emeritus, Sociology
Henkelman, James H. Regular
Member
B.S., Miami University-Oxford, 1955;
D.Ed., Harvard University, 1965;
M.A.,Whitworth College, 1981.
- Associate Professor Emeritus,
Education: Curriculum and Instruction
Henretta, James A. Regular Member
B.A., Swarthmore College, 1962; M.A.,
Harvard University, 1963; Ph.D., 1968.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, History
Herb, Rebecca A. Regular Member
B.A., University of Oregon, 1969; M.A.,
1970; Ph.D., University of Washington,
1974.
- Professor Emerita, Mathematics
Herberholz, Jens Regular Member
B.S., Albert-Ludwigs-University, Freiburg,
Germany; M.S., Albert-Ludwigs-
University, Freiburg, Germany; Ph.D.,
Tehnical University, Munich, Germany
- Associate Professor, Psychology
Herf, Jeffrey Regular Member
B.A., University of Wisconsin-Madison,
1969; M.A., State University of New York
560
at Buffalo, 1971; Ph.D., Brandeis
University, 1980.
- Professor, History
- Affiliate Professor, Jewish Studies
Herin, Christoph A. Regular Member
Ph.D., University of Bonn, 1950.
- Professor Emeritus, German Literature
and Language
Herman, Harold J. Regular Member
B.A., University of Maryland-College Park,
1952; Ph.D., University of
Pennsylvania,1960.
- Associate Professor Emeritus, English
Language and Literature
Herman, Richard H. Regular
Member
B.S., Stevens Institute of Technology,
1963; Ph.D. .University of Maryland-
College Park, 1967.
- Dean, College of Computer,
Mathematical, and Physical Sciences
- Professor, College of Computer,
Mathematical, and Physical Sciences
Herold, Keith E. Regular Member
B.S.M.E., University of Akron, 1977; M.S.,
Ohio State University-Columbus, 1979;
Ph.D., 1985.
- Associate Professor, Engineering;
Bioengineering
Herrmann, Jeffrey W. Regular
Member
B.S., Georgia Institute of Technology,
1990; Ph.D., University of Florida, 1993.
- Associate Professor, Systems Research,
Institute for
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Associate Professor, Engineering;
Mechanical Engineering
- Associate Professor, Engineering:
Systems Engineering
Herrnson, Paul S. Regular Member
B.A., State University of New York-
Binghamton, 1981; M.A., Georgetown
University,1982; M.A., University of
Wisconsin-Madison, 1983; Ph.D., 1986.
- Distinguished Scholar-Teacher,
Government and Politics
- Professor, Government and Politics
Herschbach, Dennis R. Regular
Member
B.A., San Jose State University, 1960;
M.S., University of lllinois-
Urbana/Champaign, 1968; Ph.D., 1973.
- Associate Chair, Education: Policy and
Leadership
- Associate Professor, Education: Policy
Studies
- Associate Professor, Education: Policy
and Leadership
Hershenson, David B. Regular
Member
A.B., Harvard University, 1955;A.M.,
Boston University, 1960; Ph.D., 1964.
- Professor Emeritus, Education:
Counseling and Personnel Services
Herzberg, Osnat Regular Member
B.S., Technion-lsrael Institute of Tech-
Haifa, 1971; M.S., Weizmann Institute of
Science-Rehovoth, 1976; Ph.D., 1982.
- Professor, Biochemistry
- Affiliate Professor, Biological Sciences
Heston, Steven L. Regular Member
B.S., University of Maryland-College Park,
1983; M.S., Carnegie-Mellon University,
1985; M.S., Carnegie-Mellon University,
1987; Ph.D., Carnegie-Mellon University,
1990.
- Assistant Professor, Business and
Management
Hetrick, Frank M. Regular Member
B.S., Michigan State University, 1954;
M.S. .University of Maryland-College Park,
1960; Ph.D., 1962.
- Distinguished Scholar-Teacher,
Distinguished Faculty
Hewitt, Michael P. Regular Member
B. Mus., State University College of
Education Potsdam, 1988; M.Mus.,
Michigan State University, 1992; Ph.D.,
University of Arizona, 2000.
- Associate Professor, Music
Heyes, Andrew Regular Member
- Research Associate Professor, Marine-
Estuarine-Environmental Sciences
Hickey, Michael E. Adjunct Member
B.A., University of Washington, 1 964;
M.A., 1968; Ph.D., 1969.
- Superintendent of Schools, Howard
County, Maryland
Hicks, Michael Regular Member
Ph.D. University of Pennyslvania, 2001
- Associate Professor, Computer Science
- Associate Professor, Institute for
Advanced Computer Studies (UMIACS)
- Affiliate Assistant Professor, Electrical
and Computer Engineering
Hier-Majumder, Saswata Regular
Member
B.Sc, Jadavpur University (India), 1996;
M.Sc, Jadavpur University (India), 1998;
Ph.D., University of Minnesota, 2004
- Assistant Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Assistant Professor, Geology
- Affiliate Assistant Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Higgins, Wayne Adjunct Member
- Adjunct Professor, Atmospheric and
Oceanic Science
Higgins, William J. Regular Member
B.S., Boston State College, 1969; Ph.D.,
Florida State University, 1973.
- Associate Professor, Biological
Sciences
- Associate Professor, College of Life
Sciences
Highton, Richard Regular Member
B.A., New York University,1950; M.S.,
University of Florida, 1953; Ph.D., 1956.
- Professor Emeritus, Biological Sciences
Hilderbrand, Robert H. Regular
Member
B.S. Frostburg State University, 1992;
M.S. Virginia Polytechnic Institute and
State University, 1994; Ph.D., Utah State
University, 1998
- Associate Professor, Marine-Estuarine-
Environmental Sciences
Hildy, Franklin J. Regular Member
B.A., Shimer College, 1975; M.A.,
Northwestern University, 1976; Ph.D.,
1980.
- Area Chair, Theatre
- Professor, Theatre
Hill, Clara E. Regular Member
B.A., Southern Illinois University-
Carbondale, 1970;M.A., 1972; Ph.D.,
1974.
- Professor, Psychology
Hill, Jacqueline J. Regular Member
B.S., North Carolina Central University,
1966; M.A., 1973.
- Director, CES - Central Region
Extension Director
- Principal Agent, Cooperative Extension
Service
- Principal Agent, CES - Central Region
Extension Director
Hill, John W. Regular Member
B.A., Rice University, 1951; B.Arch., 1952;
M.Arch., University of Pennsylvania,
1959.
- Professor Emeritus, Architecture
Hill, Margarita M. Regular Member
B.S., University of California-Davis, 1986;
M.S., 1989.
- Assistant Professor, Career Center
Hill, Mark D. Regular Member
B. Mus. .North Carolina School of the Arts,
1974; M.Mus., State University of New
York-Stony Brook, 1976.
- Associate Professor, Music
Hill, Robert Lee Regular Member
B.S., North Carolina State University,
1974; M.S., 1981; Ph.D., Iowa State
University, 1984.
- Professor, Enviromental Science and
Technology
Hill, Russell T. Regular Member
B.S., University of Natal, South Africa,
1978; Ph.D., University of Cape Town,
South Africa, 1988
- Professor, Marine-Estuarine-
Environmental Sciences
Hill, Shannen Regular Member
B.A., University of Puget Sound, 1 987;
M.A., University of Wisconsin, 1994;
Ph.D., University of Wisconsin, 2003.
- Assistant Professor, Art History and
Archaeology
Hill, Wendell T., Ill Regular Member
B.A., University of California-Irvine, 1974;
M.S., Stanford University, 1976; Ph.D.,
1980.
- Professor, Chemical Physics
- Affiliate Professor, Physics
Hines, Anson H. Adjunct Member
B.A., Pomona College, 1969; Ph.D.,
University of California-Berkeley, 1976.
- Adjunct Professor, Biological Sciences
Hiro Iseki Regular Member
Ph.D. University of California, Los
Angeles
- DEFAULT, Urban and Regional Planning
and Design
Hitchcock, Donald R. Regular
Member
B.A., University of Maryland-College Park,
1952; M.A., Harvard University, 1954;
Ph.D., 1965.
- Associate Professor, Russian Language
and Literature
- Associate Professor, Asian and East
European Languages and Cultures
Ho, Ping-Tong Regular Member
S.B., Massachusetts Institute of
Technology,1973; S.M., 1975; Sc.D.,
1978.
- Professor, Engineering: Electrical &
Computer Engineering
Hoberg, Gerard Regular Member
B.A., 1994; M.A., M.Phil., 2002, Ph.D.,
2004, Yale University.
-Assistant Professor, Business and
Management
561
Hodos, William Regular Member
B.S., City University of New York-Brooklyn
College, 1955; M.A., University of
Pennsylvania, 1957; Ph.D., 1960.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Distinguished University Professor
Emeritus, Psychology
- Professor, Research & Economic
Development
- Professor Emeritus, Neuroscience and
Cognitive Science
- Professor Emeritus, Research &
Economic Development
Hofferth, Sandra L. Regular Member
B.A., Swarthmore College, 1967; M.A.
University of North Carolina-Chapel Hill,
1971; Ph.D., 1976.
- Professor, Family Science
- Professor, Public Health: Maternal and
Child Health Ph.D.
- Affiliate Professor, Sociology
Hoffman, Kara D. Regular Member
B.S., University of Kentucky, 1992; M.S.,
Purdue University, 1994; Ph.D., Purdue
University, 1998;
- Assistant Professor, Physics
Hoffman, Mary Ann Regular Member
B.A., Macalester College, 1971;Ph.D.,
University of Minnesota-Twin Cities,
1975.
- Professor, Education: Counseling and
Personnel Services
Hoffmann, Vivian Regular Member
B.S., University of British Columbia, 2001 ;
Ph.D., Cornell University, 2008
- Assistant Professor, Agricultural and
Resource Economics
Holliday, William G. Regular
Member
B.S., Purdue University, 1963; M.S. ,1968;
Ph.D., University of Texas-Austin, 1970.
- Professor, Education: Curriculum and
Instruction
Hollingsworth, Jeffrey K. Regular
Member
B.S., University of California-Berkeley,
1988; M.S., University of Wisconsin-
Madison, 1990; Ph.D., 1994.
- Professor, Computer Science
- Associate Professor, Advanced
Computer Studies, Institute for
- Affiliate Professor, Engineering:
Electrical & Computer Engineering
Holloway, David C. Regular Member
B.S., University of lllinois-
Urbana/Champaign, 1966; M.S., 1969;
Ph.D., 1971.
- Professor Emeritus, Engineering:
Mechanical Engineering
Holman, Benjamin F. Regular
Member
B.S., University of Kansas, 1952.
- Professor Emeritus, College of
Journalism
Holmes, Ingrid H. Regular Member
B.S., University of Maryland-Eastern
Shore, 1970; M.Ed., Salisbury State
University, 1983.
- Acting Director, Extension Service
Somerset
- Senior Agent, Extension Service
Somerset
- Senior Agent, Cooperative Extension
Service
Holmgren, Harry D. Regular Member
B.S., University of Minnesota-Twin Cities,
1949;M.A., 1950; Ph.D., 1954.
- Professor Emeritus, Physics
Holt, Andrea A. Regular Member
B.A., College of Notre Dame of Maryland,
1989; M.S., Coppin State College, 1996.
- Agent, Cooperative Extension Service
- Agent, Extension Service Baltimore
County
Holt, Cheryl L Regular Member
B.S.,SUNY-Brockport; M.S., East
Tennessee University; Ph.D., St. Louis
University
- Associate Professor, Public Health:
Behavioral and Community Health Ph.D.
- Associate Professor, Public Health:
Master of Public Health-Community
Health Education
Holtz, Thomas R., Jr. Adjunct
Member
B.A., Johns Hopkins University, 1987;
Ph.D., Yale University, 1992.
- Senior Lecturer, Geology
- Adjunct Professor, Biological Sciences
Holum, Kenneth G. Regular Member
B.A., Augustana College, 1 961 ; M.A.,
University of Chicago, 1969; Ph.D., 1973.
- Professor, International Educational
Services
- Professor, History
- Affiliate Professor, Jewish Studies
Honig, Meredith I. Regular Member
A.B., Brown University Ph.D., Stanford
University
- Assistant Professor, Education: Policy
and Leadership
Hood, Raleigh R. Regular Member
B.S., University of Washington, 1983;
Ph.D., University of California-San Diego,
1990.
- Professor, Marine-Estuarine-
Environmental Sciences
Hoogland, John L. Regular Member
B.S., University of Michigan-Ann Arbor,
1971; Ph.D., 1977.
- Professor, Marine-Estuarine-
Environmental Sciences
Hooks, Cerruti Regular Member
B.S., North Carolina Central University,
1987; M.S., North Carolina State
University, 1994; Ph.D., University of
Hawaii at Manoa, 2000
- Assistant Professor, Entomology
Horiuchi, Timothy Regular Member
B.S., California Institute of Technology,
1989 Ph.D., 1997.
- Associate Professor, Engineering:
Systems Engineering
- Associate Professor, Engineering:
Electrical & Computer Engineering
- Associate Professor, Systems Research,
Institute for
- Associate Professor, Neuroscience and
Cognitive Science
- Affiliate Associate Professor,
Engineering: Bioengineering
Horiuchi, Timothy K. Regular
Member
Ph.D.; California Inst, of Tech, 1997 B.S.;
California Inst, of Tech, 1989
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Hornstein, Norbert R. Regular
Member
B.A., McGill University-Montreal, 1975;
Ph.D., Harvard University, 1979.
- Chair, Linguistics
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor, Linguistics
- Professor, Neuroscience and Cognitive
Science
Horty, John Regular Member
B.A., Oberlin College, 1977; Ph.D.,
University of Pittsburgh, 1986.
- Chair, Philosophy
- Professor, Philosophy
- Professor, Advanced Computer Studies,
Institute for
- Affiliate Professor, Computer Science
Houde, Edward D. Regular Member
B.A., University of Massachusetts, 1963;
M.S., Cornell University, 1965; Ph.D.,
1968.
- Professor, Marine-Estuarine-
Environmental Sciences
Howard, Donna E. Regular Member
B.S., University of Massachusetts-
Amherst, 1978; M.P.H., University of
Hawaii at Manoa, 1980; D.Pub.Hlth.,
Johns Hopkins University,1994.
-Associate Professor, Public Health:
Behavioral and Community Health Ph.D.
-Associate Professor, Public Health:
Master of Public Health-Community
Health Education
Howard, Jo Gayle Adjunct Member
B.S., Texas A&M University, 1979;
D.V.M., 1980.
- Research Associate, Reproductive
Physiologist, National Zoological Park
Howland, Marie Regular Member
B.A., University of California-Berkeley,
1972; M.C.P., 1974; Ph.D. .Massachusetts
Institute of Technology, 1 981 .
- Acting Associate Dean, Urban and
Regional Planning and Design
- Director, Urban and Regional Planning
and Design
- Professor, Urban Studies and Planning
- Affiliate Professor, American Studies
Hristu, Dimitrios Regular Member
B.S., University of California-Berkeley,
1992; M.S., Rensselaer Polytechnic
Institute, 1994; M.S., Harvard University,
1997;Ph.D., 1999.
- Research Associate, Systems Research,
Institute for
Hsieh, Adam Regular Member
Ph.D., University of California, San Diego,
2000
-Assistant Professor, Engineering:
Bioengineering
- Assistant Professor, Biological Sciences
Hsu, Yih-Yun Regular Member
B.S., National Taiwan Ocean University,
1952; M.S. .University of lllinois-
Urbana/Champaign, 1957; Ph.D., 1958.
- Professor Emeritus, Materials and
Nuclear Engineering
Hu, Bei-Lok Regular Member
A.B., University of California-Berkeley,
1967; M.A., Princeton University, 1969;
Ph.D., 1972.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Physics
Hu, Liangbing Regular Member
B.S. University of Science and
Technology of China, 2002;
Ph.D. .University of California, Los Angeles
(UCLA),2007.
-Assistant Professor, Engineering:
Materials Science and Engineering
Hu, Wei "Peter" Regular Member
562
- Assistant Research Scientist,
Engineering: Aerospace Engineering
Huang, Helen Q. Regular Member
B.F.A., Central Academy Of Drama-
Beijing, 1982; M.F.A., University of
Missouri-Kansas City, 1988.
- Professor, Theatre
Hubacek, Klaus Regular Member
MBA, Univ. of Economics and Business
Administration, 1991; M.Sc, Rensselaer
Polytechnic Inst., 1998; PhD, Rensselaer
Polytechnic Inst., 2000
- Professor, Geography
Hubbard, James Adjunct Member
B.S., Massachusetts Institute of Tech.,
1977; M.S., Masachusetts Institute of
Tech., 1979; Ph.D. .Massachusetts
Institute of Tech., 1982.
- Visiting Professor, Engineering:
Aerospace Engineering
- DEFAULT, Engineering: Aerospace
Engineering
- DEFAULT, Engineering: Aerospace
Engineering
- DEFAULT, Engineering: Aerospace
Engineering
- DEFAULT, Engineering: Aerospace
Engineering
- DEFAULT, Engineering: Aerospace
Engineering
Hudson, Robert D. Regular Member
B.S., University of Reading, 1956; Ph.D.,
1959.
- Professor, Atmospheric and Oceanic
Science
Hueth, Darrell L. Regular Member
B.S., Montana State University, 1959;
M.S., 1969; Ph.D., University of California-
Berkeley, 1973.
- Professor Emeritus, Agricultural and
Resource Economics
Huffman, Diana Adjunct Member
B.A., Northwestern University, 1971; M.S.,
Columbia University, 1972; J.D.,
Georgetown University Law Center, 1977
- Lecturer, Journalism
Hughes, Sherick Regular Member
B.A., University of North Carolina at
Wilmington, 1997; M.A., Wake Forest
University, 1999; M.P.A. University of
North Carolina at Chapel Hill, 2001 ; Ph.D.,
University of North Carolina at Chapel Hill,
2003.
- Assistant Professor, Education:
Curriculum and Instruction
Hult, Joan S. Regular Member
B.S., Indiana University-Bloomington,
1954;M.Ed., University of North Carolina-
Greensboro, 1958; Ph.D., University of
Southern California-Los Angeles, 1967.
- Professor Emerita, Kinesiology
Hulten, Charles R. Regular Member
A.B., University of California-Berkeley,
1965; Ph.D., 1973.
- Professor, Economics
Hultgren, Francine H. Regular
Member
B.S., University of Minnesota-St. Paul,
1968; M.S. .North Dakota State University-
Fargo, 1977; Ph.D. .Pennsylvania State
University-University Park, 1982.
- Chair, Education: Policy Studies
- Professor, Education: Policy Studies
- Professor, Education: Policy and
Leadership
Humbert, J. Sean Regular Member
B.S., University of California, Davis; M.S.,
California Institute of Technology, ;Ph.D.,
California Institute of Technology,
- Assistant Professor, Engineering:
Aerospace Engineering
- Affiliate Assistant Professor,
Engineering: Bioengineering
Humphrey, Margo Regular Member
B.F.A., California College of Arts and
Crafts, 1973; M.F.A., Stanford University,
1974.
- Associate Professor, Art Studio
Hunt, Brian R. Regular Member
M.A., University of Maryland-College Park,
1983; Ph.D., Stanford University, 1989.
- Associate Chair, Mathematics
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Mathematics
Hunt, Gene Adjunct Member
B.S., Duke University, 1995; M.S.,
University of Chicago-Illinois, 2000; Ph.D.,
University of Chicago-Illinois, 2003.
- Adjunct Professor, Biological Sciences
Hunt, Janet G. Regular Member
B.A., University of Redlands, 1962; M.A.,
Indiana University-Bloomington, 1966;
Ph.D., 1973.
- Associate Professor Emerita, Sociology
Hunt, Larry L. Regular Member
B.S., Ball State University, 1961; M.A.,
Indiana University-Bloomington, 1964;
Ph.D., 1968.
- Professor Emeritus, Sociology
Huq, Anwarul Regular Member
B.S., University of Karachi, Pakistan,
1973; M.S., University of Karachi,
Pakistan, 1973, Ph.D. University of
Maryland College Park, 1984
- Associate Professor, Marine-Estuarine-
Environmental Sciences
Hurley, Ben F Regular Member
B.A., University of South Florida Tampa,
Florida, 1972, M.A. University of South
Florida Tampa, Florida, 1975, Ph.D.,
Florida State University Tallahassee,
Florida, 1981
- Professor, Nutrition
Hurley, Bernard F. Regular Member
B.A., University of South Florida, 1972;
M.A., 1975; Ph.D. .Florida State University,
1981.
- Professor, Kinesiology
- Affiliate Professor, Aging, Center on
Hurtt, George Regular Member
B.A., Middlebury College, 1990; M.S.,
Univ. of Connecticut, 1992; PhD,
Princeton, 1 997.
- Professor, Geography
Hurtt, Steven W. Regular Member
A.B., Princeton University, 1963;M.F.A.,
1965; M.Arch., Cornell University, 1967.
- Professor, Urban and Regional Planning
and Design
- Professor, Architecture
- Affiliate Professor, American Studies
Hutchens, Walter C. Regular
Member
B.A., Samford University, 1990; M.A.,
J.D., Washington University in Saint Louis,
1999.
- Assistant Professor, Business and
Management
Hutcheson, Steven W. Regular
Member
A.B., University of California-Santa Cruz,
1975; Ph.D. .University of California-
Berkeley, 1982.
- Professor, Biological Sciences
-Affiliate Professor, Engineering:
Bioengineering
Hutchinson, Jo Ann Adjunct
Member
B.S., Morgan State College, 1975; M.Ed.,
Coppin State College, 1979;
Ph.D. .Southern Illinois University-
Carbondale, 1990.
- Research Associate Professor,
Education: Counseling and Personnel
Services
Huth, Paul K. Regular Member
B.A., University of Wisconsin-Milwaukee,
1981; M.A., M.Phil., Yale University, 1984;
Ph.D., Yale University, 1986
- Professor, Government and Politics
Hvidkjaer, Soeren Regular Member
B.S., Aarhus School of Business, 1993;
M.S., Aarhus School of Business, 1995;
M.S., Ph.D., Cornell University, 2001.
-Assistant Professor, Business and
Management
Hyler, Maria Regular Member
B.A., Wellesley College, 1995; M.Ed.,
Harvard University, 1996; Ph.D., Stanford
University, 2007
-Assistant Professor, Education:
Curriculum and Instruction
Ibrahim, Hassan Regular Member
B.S., King Saud University, 1980; M.Eng.,
George Washington University, 1984;
S.C.D., George Washington University,
1988.
- Lecturer, Business and Management
Ide, Kayo Regular Member
B.S., Nagoya University, Japan; M.S.,
Ph.D. California Institute of Technology
- Assistant Professor, Atmospheric and
Oceanic Science
- Assistant Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Idsardi, William Regular Member
B.A., University of Toronto, 1988; Ph.D.,
Massachusetts Institute of Technology,
1992
- Professor, Linguistics
- Associate Professor, Neuroscience and
Cognitive Science
- Affiliate Associate Professor, Second
Language Acquisition-Ph.D.
Igel, Regina Regular Member
M.A., State University of Iowa, 1969;
Ph.D., University of New Mexico, 1973.
- Professor, Spanish and Portuguese
Languages and Literatures
- Affiliate Professor, Jewish Studies
lliadis, Agisilaos Regular Member
B.S., Aristotelian University of
Thessaloniki, 1975; M.S., University of
Manchester, 1976; Ph.D., 1980.
- Professor, Engineering: Electrical &
Computer Engineering
Imig, David Regular Member
B.A., University of Illinois, Urbana-
Champaign, 1 961 ; M.A., University of
Illinois, Urbana-Champaign, 1964; Ph.D.,
University of Illinois, Urbana-Champaign,
1969
- Professor of Practice, Education:
Curriculum and Instruction
Inouye, David W. Regular Member
B.A., Swarthmore College, 1971 ; Ph.D.,
University of North Carolina-Chapel Hill,
1976.
- Professor, Biological Sciences
- Affiliate Professor, Sustainable
Development and Conservation Biology
563
Ipavich, Fred M. Adjunct Member
B.S., Manhattan College, 1967;
Ph.D. .University of Maryland-College
Park, 1972.
- Senior Research Scientist, Physics
Isaacs, Lyle D. Regular Member
B.S., University of Chicago, 1 991 ; M.S.,
University of California-Los Angeles,
1992; Ph.D., Swiss Federal Institute of
Tech-Zurich, 1995.
- Director, Chemistry
- Professor, Chemistry
Isaacs, Miriam Adjunct Member
B.A., Brooklyn College, 1967;M.A., Cornell
University, 1969; Ph.D., 1971.
- Visiting Assistant Professor, Jewish
Studies
Isaacs, Neil D. Regular Member
A.B., Dartmouth College, 1953; A.M.,
University of California-Berkeley, 1956;
Ph.D., Brown University, 1959.
- Professor Emeritus, English Language
and Literature
Iso-Ahola, Seppo E. Regular
Member
B.S., University of Jyvaskyla-Finland,
1971; M.S., University of lllinois-
Urbana/Champaign, 1972; M.S.,
University of Jyvaskyla-Finland, 1 973;
Ph.D. .University of lllinois-
Urbana/Champaign, 1976.
- Professor, Kinesiology
Israel, Michael Regular Member
B.A., U.C. Berkeley, 1989 Ph.D., U.C. San
Diego, 1998
- Associate Professor, Neuroscience and
Cognitive Science
- Associate Professor, English Language
and Literature
Jabin, Pierre-Emmanuel Regular
Member
Ph.D., Universite Paris VI, 2000
- Professor, Mathematics
Jackson, Fatimah L.C. Regular
Member
B.A., Cornell University, 1972; M.A., 1978;
Ph.D., 1981.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Nutrition
- Affiliate Professor, Biological Sciences
Jackson, Gregory Scott Regular
Member
B.S., Rice University, 1988;M.S., Cornell
University, 1991; Ph.D., 1994.
- Professor, Engineering: Mechanical
Engineering
- Affiliate Associate Professor,
Engineering: Chemical Engineering
Jackson, Gwendolyn B. Regular
Member
B.S., Morgan State University, 1968;
M.S. .Howard University, 1975.
- Director, Extension Service Baltimore
City
- Senior Agent, Extension Service
Baltimore City
- Senior Agent, Cooperative Extension
Service
Jackson, Hope MonaLisa Regular
Member
B.S., University of Maryland-College Park,
1974 M.S., 1985.
- Acting Director, Extension Service
Howard
- Senior Agent, Extension Service Howard
- Senior Agent, Cooperative Extension
Service
Jackson, Paul D. Adjunct Member
M.A. in Dance (Production), the Ohio
State University, 1980; B.F.A. in Dance
(Performance), University of Utah, 1976.
- Lecturer, Theatre
- Lecturer, Dance
Jackson, Robert T. Regular Member
B.A., Cornell University, 1970; M.Sc,
University of Dar Es Salaam, 1977; Ph.D.,
Cornell University, 1981.
- Professor, Nutrition
Jacob, Bruce Regular Member
A.B. Degree, Harvard University, 1988
M.S., University of Michigan-Ann Arbor,
1996; Ph.D., 1997.
- Associate Professor, Engineering:
Electrical & Computer Engineering
- Assistant Professor, Advanced
Computer Studies, Institute for
Jacobs, David Regular Member
Ph. D. MIT, 1992
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Associate Professor, Computer Science
- Associate Professor, Institute for
Advanced Computer Studies (UMIACS)
- Associate Professor, Neuroscience and
Cognitive Science
Jacobs, Wendy A. Regular Member
B.F.A., Edinboro State College, 1980;
M.F.A., Cranbrook Academy of Art, 1984.
- Assistant Dean, College of Arts and
Humanities
Jacobson, Theodore A. Regular
Member
B.A., Reed College, 1977; Ph.D.,
University of Texas-Austin, 1983.
- Professor, Physics
Jacoby, Barbara G. Adjunct Member
B.A., University of Maryland-College Park,
1971; M.A., 1972; Ph.D., 1978.
- Director, Office of Commuter Affairs and
Community Service
- Director, Office of Commuter Affairs and
Community Service
- Affiliate Associate Professor, Education:
Counseling and Personnel Services
Jaeger, Paul T. Regular Member
B.A., New College, 1996; M.Ed.,
University of North Florida, 1998; J.D.,
Florida State University, 2001 ; MSLIS,
Florida State University, 2003; Ph.D.,
Florida State University, 2006.
- Assistant Professor, Human-Computer
Interaction
- Assistant Professor, Library Science
- Assistant Professor, Information Studies
Jaeggi, Susanne Regular Member
CV: B.S., Psychology, College Ste. Croix
(Switzerland) M.S., Psychology, University
of Bren Ph.D., Cognitive Psychology,
University of Bren
- Assistant Professor, Psychology
Jagus, Rosemary Regular Member
B.S., University College of North Wales,
1971; Ph.D. .University College (London),
1976.
- Associate Professor, Marine-Estuarine-
Environmental Sciences
Jain, Sanjay Regular Member
B.A., University of Delhi, 1986; M.A., The
Johns-Hopkins University, 1989; Ph.D.,
Princeton University, 1995.
- Assistant Professor, Business and
Management
JaJa, Joseph F. Regular Member
B.S., American University-Beirut, 1974;
M.S., Harvard University, 1976; Ph.D.,
1977.
- Director, Advanced Computer Studies,
Institute for
- Professor, Advanced Computer Studies,
Institute for
- Professor, Systems Research, Institute
for
- Professor, Engineering: Electrical &
Computer Engineering
- Affiliate Professor, Computer Science
Jakiela, Pamela Regular Member
B.A., University of Michigan, Ann Arbor,
1999; M.Sc, London School of
Economics, 2000; Ph.D., University of
California, Berkeley, 2008
- Assistant Professor, Agricultural and
Resource Economics
Jakobson, Michael Regular Member
M.A., Moscow State University, 1967;
Ph.D., 1970.
- Professor, Mathematics
James, Bruce R. Regular Member
B.A., Williams College, 1973; M.S.,
University of Vermont, 1979; Ph.D., 1981.
- Professor, Enviromental Science and
Technology
- Affiliate Professor, Geology
Jank, Wolfgang Regular Member
M.S., University of Aachen, 1996; Ph.D.,
University of Florida, 2001.
-Assistant Professor, Business and
Management
- Assistant Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Jantz, Richard K. Regular Member
B.S., Indiana University-Fort Wayne,
1968; M.S., Indiana University, 1970;
Ed.D., Ball State University, 1972.
- Associate Dean, College of Education
- Professor, College of Education
- Professor Emeritus, Education:
Curriculum and Instruction
Jaquith, Richard H. Regular Member
B.S., University of Massachusetts-
Amherst, 1940; M.S., 1942; Ph.D.,
Michigan State University, 1955.
- Assistant Vice President, Academic
Affairs
Jarvis, Bruce B. Regular Member
B.A.,Ohio Wesleyan University, 1963;
Ph.D., University of Colorado-Boulder,
1966.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emeritus, Chemistry
Jarzynski, Christopher Regular
Member
B.A. Princeton University 1987, Ph.D.
University of California, Berkeley, 1994
- Associate Director, Chemical Physics
- Professor, Chemistry
- Professor, Chemical Physics
Jawahery, Abolhassan Regular
Member
B.S., Tehran University, 1976; M.S., Tufts
University, 1977; Ph.D., 1981.
- Professor, Physics
Jeffery, William Richard Regular
Member
B.S., University of Illinois-Chicago, 1967;
Ph.D., University of Iowa, 1971.
- Professor, Biological Sciences
Jeka, John J. Regular Member
B.A., Tufts University, 1979; M.A., 1988;
Ph.D. .Florida Atlantic University, 1992.
- Professor, Kinesiology
564
- Professor, Neuroscience and Cognitive
Science
- Affiliate Professor, Engineering:
Bioengineering
- Affiliate Associate Professor, Aging,
Center on
Jelen, Sheila Regular Member
B.A. University of Michigan-Ann Arbor,
1993; Ph.D., University of California-
Berkeley, 2001.
- Acting Director, Comparative Literature
- Acting Director, English Language and
Literature
- Professor, Comparative Literature
- Associate Professor, English Language
and Literature
- Associate Professor, Jewish Studies
- Affiliate Associate Professor, Women's
Studies
Jette, Shannon Regular Member
B.SC, Simon Fraser University, 1998;
M.A. University of British Columbia, 2004;
Ph.D., University of British Columbia,
2009
- Assistant Professor, Kinesiology
Ji, Xiangdong Regular Member
B.S., Tongji University/Tungchai
University, 1982; M.S., Drexel University,
1985; Ph.D., 1987.
- Professor, Physics
Jiang, Nan Adjunct Member
B.A., Nanjiang Normal University, China,
1984; M.A. East China Normal University,
1987; Ph.D. University of Arizona, 1998.
- Associate Professor, Neuroscience and
Cognitive Science
- Associate Professor, Second Language
Acquisition-Ph.D.
Jiao, Hong Regular Member
B.S., Shanghai Jiaotong University, 1989;
M.A., Shanghai Jiaotong University, 1992;
Ph.D., Florida State University, 2003.
- Assistant Professor, Education;
Measurement, Statistics and Evaluation
Jill Montaquila Regular Member
B.A. Mathematics and Economics,
Ashland College (1989); M.S. Statistics,
Miami University (1991); Ph.D. Statistics,
American University (1998)
- Research Assistant Professor, Survey
Methodology
Jin, Ginger Zhe Regular Member
B.A., University of Science and
Technology of China, 1992; M.A.,
Graduate School of People's Bank of
China, 1995; Ph.D., UCLA 2000.
- Associate Professor, Economics
Johnson, Arthur T. Regular Member
B.S.A.E., Cornell University, 1964; M.S.,
1967; Ph.D., 1969.
- Professor Emeritus, Engineering:
Bioengineering
Johnson, Brian D. Regular Member
B.A., Lawrence University, 1997; M.A.,
Pennsylvania State University, 2000;
Ph.D., Pennsylvania State University,
2003.
- Associate Professor, Criminology and
Criminal Justice
Johnson, Dale Maurice Regular
Member
B.S., Utah State University, 1983;
M.S. .Cornell University, 1986.
- Senior Agent, Cooperative Extension
Service
Johnson, Haynes B. Regular
Member
B.A., University of Missouri-Columbia,
1952; M.S., University of Wisconsin La
Crosse, 1956.
- Professor, Journalism
Johnson, Martin L. Regular Member
B.S., Morris College, 1962; M.Ed.,
University of Georgia, 1968; Ed.D., 1971.
- Professor Emeritus, Education:
Curriculum and Instruction
Johnson, Raymond L. Regular
Member
B.A., University of Texas-Austin, 1963;
Ph.D., Rice University, 1969.
- Professor Emeritus, Mathematics
Johnston, Christine M. Regular
Member
B.S., Pennsylvania State University-
Chester,1978; M.S., 1982.
- Senior Agent, Extension Service Queen
Annes
- Senior Agent, Cooperative Extension
Service
Jones Harden, Brenda P. Regular
Member
B.A., Fordham University, 1979;M.S.W.,
New York University, 1980; M.S., Yale
University, 1991; Ph.D., 1996.
- Associate Professor, Education: Human
Development
Jones, Anya R. Regular Member
B.S., Rensselaer Polytechnic Institute,
2004; S.M., Massachusetts Institute of
Technology, 2006; Ph.D., University of
Cambridge, 2010
- Assistant Professor, Engineering:
Aerospace Engineering
Jones, Gretchen I. Regular Member
B.A., University of Colorado-Boulder,
1986; M.A., University of California-
Berkeley, 1992; Ph.D., 1999.
- Assistant Professor, Asian and East
European Languages and Cultures
- Assistant Professor, Asian and East
European Languages and Cultures
- Affiliate Assistant Professor, Women's
Studies
Jones, Hilary Regular Member
B.A., Spelman College; Ph.D. Michigan
State University, 2003
- Assistant Professor, History
Joseph, Sammy W. Regular Member
B.S., University of Florida, 1956; M.S., St.
John's University, 1964; Ph.D., 1970.
- Professor, Food Science
Joshua McGrath Regular Member
B.A., Johns Hopkins Univ., 1997; Ph.D.,
Univ. Delaware, 2004.
- Assistant Professor, Enviromental
Science and Technology
Julin, Douglas A. Regular Member
B.A., Haverford College, 1978 Ph.D.,
University of California-Berkeley, 1984.
- Director, Biochemistry
- Associate Professor, Biochemistry
- Associate Professor, Biological
Sciences
- Associate Professor, Chemistry
Just, Richard E. Regular Member
B.S., Oklahoma State University-
Stillwater, 1969; M.A., University of
California-Berkeley, 1971; Ph.D., 1972.
- Distinguished University Professor,
Agricultural and Resource Economics
Justice, Christopher O. Regular
Member
B.A., University of Reading, 1973; Ph.D.,
University of Reading, 1977.
- Chair, Geography
- Professor, Geography
Kachman, Misha Regular Member
B.F.A., State Industrial Arts Academy,
1988; M.F.A., State Academy of Theatrical
Arts, 1994.
- Assistant Professor, Theatre
Kacser, Claude Regular Member
D. Phil., Oxford Univ. 1959
- Associate Professor Emeritus, Physics
Kagan, Abram Regular Member
M.A., University of Tashkent, 1958; Ph.D.,
University of Leningrad, 1963; D.Sc,
1967.
- Director, Mathematical Statistics
- Professor, Mathematics
- Professor, Mathematical Statistics
Kahler, James R. Regular Member
B.S., University of Maryland-College Park,
1979;M.A., 1987.
- Senior Agent, Extension Service Cecil
- Senior Agent, Cooperative Extension
Service
Kahn, Jason D. Regular Member
B.A., Harvard University, 1983; Ph.D.,
University of California-Berkeley, 1990.
- Associate Professor, Chemistry
- Associate Professor, Biological
Sciences
- Associate Professor, Biochemistry
- Affiliate Associate Professor,
Engineering: Bioengineering
Kahn, Joan R. Regular Member
B.A., Stanford University, 1978;
M.A., University of Michigan-Ann Arbor,
1982; Ph.D., 1985.
- Associate Professor, Sociology
- Affiliate Associate Professor, Aging,
Center on
Kalantari Khandani,
Mehdi Adjunct Member
B.Sc. and M.Sc, Sharif University of
Technology, Iran, 1996 and 1998; Ph.D.,
University of Maryland, 2005.
- Research Scientist, Engineering:
Telecommunications
Kalnay, Eugenia E Regular Member
B.A., Lenguas vas Buenos Aires, 1970;
M.A.,Licenciatura en Ciencias
Meteorologicas, 1972;
Ph.D. .Massachusetts Institute of
Technology, 1978.
- Distinguished University Professor,
Applied Mathematics & Statistics, and
Scientific Computation
- Distinguished University Professor,
Atmospheric and Oceanic Science
-Affiliate Professor, Engineering: Civil and
Environmental Engineering
Kaloshin, Vadim Regular Member
B.A. Moscow State University, 1994 M.A.
Princeton University, 1997 Ph.D.
Princeton University, 2001
- Professor, Mathematics
Kaminski, Bartlomiej K. Regular
Member
M.A., University of Warsaw, 1967 Ph.D.,
1972.
- Associate Professor, Government and
Politics
Kammeyer, Kenneth C.W. Regular
Member
B.A., University of Northern Iowa-Cedar
Falls,1953; M.A., University of Iowa, 1958;
Ph.D., 1960.
- Professor Emeritus, Sociology
565
Kana, Todd M. Regular Member
B.A., Union College, 1974; M.S., Syracuse
University, 1976; Ph.D., Harvard
University, 1982.
- Research Associate Professor, Marine-
Estuarine-Environmental Sciences
Kanal, Laveen N. Regular Member
B.S., University of Washington,
1951;M.S., 1953; Ph.D., University of
Pennsylvania, 1960.
- Professor Emeritus, Computer Science
Kane, Andrew Scott Regular
Member
B.S., Cornell University, 1981; M.S., Ohio
State University-Columbus, 1985; Ph.D.,
University of Maryland-College Park,
1993. Dr. Kane is the Director of the UM
Aquatic Pathobiology Center and studies
pathology and toxicology of aquatic and
marine organisms with emphasis on
Chesapeake Bay fauna, aquaculture, and
captive fish species.
- Assistant Professor, Pathology, UM
School of Medicine
Kane, Bruce E. Regular Member
B.S., UC Berkeley, 1983; Ph.D.,
Princeton, 1 988;
- Senior Research Scientist, Physics
Kangas, Patrick C. Regular Member
B.S., Kent State University, 1974; M.S.,
University of Oklahoma, 1978;
Ph.D. .University of Florida, 1983.
- Associate Professor, Enviromental
Science and Technology
Kannan, Pallassana K. Regular
Member
B.Tech.,Banaras Hindu University, 1980;
M.S. .National Institute for Training in
Industrial Engineering, 1982;
Ph.D. .Purdue University, 1988.
- Area Chair, Business and Management
- Associate Professor, Business and
Management
Kanold, Patrick Regular Member
Ph.D., Johns Hopkins University, 1999.
- Assistant Professor, Neuroscience and
Cognitive Science
- Assistant Professor, Biological Sciences
- Affiliate Assistant Professor,
Engineering: Bioengineering
Kantor, Mark A. Regular Member
B.S., Rutgers University-New Brunswick,
1972; M.S., Cornell University, 1975;
Ph.D., Rutgers University-New Brunswick,
1982.
- Associate Professor, Nutrition
- Associate Professor, Food Science
Karaesmen, Itir Z. Regular Member
B.S., The Middle East Tech University-
Ankara, 1991 ; M.S., The Middle East Tech
University-Ankara, 1994; M.Phil, Columbia
University, 1999; Ph.D., Columbia
University, 2001.
- Assistant Professor, Business and
Management
Karol, David Regular Member
B.A., Grinnell College, 1992; M.A., Iowa
State University, 1994; Ph.D., University
of California, Los Angeles, 2005.
- Associate Professor, Government and
Politics
Kasischke, Eric S. Regular Member
B.S., University of Michigan-Ann Arbor,
1974; M.S., University of Michigan-Ann
Arbor, 1980; Ph.D., University of
Michigan-Ann Arbor, 1992.
- Professor, Geography
Kastner, Scott L. Regular Member
B.A., Cornell University, 1995; M.A.,
University of California, San Diego, 1998;
Ph.D., University of California, San Diego,
2003.
- Associate Professor, Government and
Politics
Katz, Jonathan Regular Member
S.B.Chemistry,MIT,1996;
S.B.Mathematics,MIT,1996;M.A.,Columbi
a University,1998;Ph.D., Columbia
University,2002
- Associate Professor, Computer Science
- Assistant Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Kauffman, Linda Regular Member
B.A., University of California-Santa
Barbara, 1971; Ph.D., 1978.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, English Language and
Literature
- Affiliate Professor, Women's Studies
Kaufman, Alan Jay Regular Member
B.A., Louisiana State University-Baton
Rouge, 1982; B.S., 1982; M.S., Indiana
University-Bloomington, 1986; Ph.D.,
1990.
- Professor, Geology
Kaufmann, Karen M. Regular
Member
B.A., University of California-Los Angeles,
1981; M.B.A., 1985; M.A., 1994; Ph.D.,
1998.
- Associate Professor, Government and
Politics
Kaushal, Sujay S. Regular Member
B.A., Cornell University, 1997; Ph.D.,
University of Colorado, 2003.
- Assistant Professor, Geology
Kays, Jonathan S. Regular Member
B.S., Rutgers University-New Brunswick,
1977; M.S. .Virginia Polytechnic Institute &
State University, 1985.
- Senior Agent, Cooperative Extension
Service
- Senior Agent, CES - Western Maryland
Resource and Education Center
Kearney, Melissa Regular Member
A.B., Princeton University, 1996; Ph.D.,
Massachusetts Institute of Technology,
2002.
- Associate Professor, Economics
Kearney, Michael S. Regular
Member
A.B., University of lllinois-
Urbana/Champaign, 1973; M.A., Western
Illinois University, 1976; Ph.D., University
of Western Ontario-London, 1981.
- Professor, Geography
Kedem, Benjamin Regular Member
B.S., Roosevelt University, 1968;
M.S., Carnegie-Mellon University, 1970;
Ph.D., 1973.
- Professor, Mathematical Statistics
- Professor, Mathematics
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Keefer, Carol L. Regular Member
B.S., University of South Caroliuna, 1 974;
PhD, University of Delaware, 1981
- Director, Animal Sciences
- Associate Professor, Animal Sciences
Kehoe, Patrice I. Regular Member
B.F.A., University of North Carolina-
Chapel Hill, 1973; M.F.A., Washington
University, 1977.
- Associate Professor, Art Studio
Keith Rust Regular Member
B.A.(hons) Mathematical Sciences,
Flinders University of South Australia
(1976); M.S. Biostatistics, Michigan
(1982); Ph.D. Biostatistics, Michigan
(1984).
- Research Professor, Survey
Methodology
Keleher, Peter J. Regular Member
B.S., Rice University, 1986; M.S. ,1992;
Ph.D., 1994.
- Associate Professor, Computer Science
- Assistant Professor, Advanced
Computer Studies, Institute for
- Affiliate Assistant Professor,
Engineering: Electrical & Computer
Engineering
Kelejian, Harry H. Regular Member
B.A., Hofstra University, 1962;
M.A., University of Wisconsin-Madison,
1964; Ph.D., 1968.
- Professor Emeritus, Economics
Keller, Jacquelyn H. Regular
Member
B.S., Southern University A+M College,
1967; M.S., Johns Hopkins University,
1989.
- Agent, Extension Service Baltimore City
Kelley, David L. Regular Member
A.B., San Diego State College, 1957;
M.S. .University of Southern California-Los
Angeles, 1958; Ph.D., 1962.
- Professor Emeritus, Kinesiology
Kelley, Matthew W. Adjunct Member
Ph.D., University of Virginia, 1993.
- Adjunct Professor, Biological Sciences
- Adjunct Professor, Biological Sciences
Kellner, James Regular Member
B.S., James Cook Univ., 2000; M.S.,
Dartmouth, 2005; PhD, Univ. of Georgia,
2008.
- Assistant Professor, Geography
Kellogg, Royal B Regular Member
B.S., Massachusetts Institute of
Technology, 1952; Ph.D., University of
Chicago, 1959.
- Research Professor Emeritus,
Mathematics
Kelly, Brian Paul Regular Member
B.Arch., University of Notre Dame, 1981 ;
M.Arch., Cornell University, 1987.
- Associate Professor, Career Center
- Associate Professor, Architecture
- Associate Professor, Urban and
Regional Planning and Design
Kelly, Franklin W. Regular Member
B.A., University of North Carolina, 1974;
M.A., Williams College, 1979; Ph.D.,
University of Delaware, 1985.
- Professor, Art History and Archaeology
- Affiliate Associate Professor, American
Studies
Kelly, James J. Regular Member
B.S., California Institute of Technology,
1977; Ph.D., Massachusetts Institute of
Technology, 1981.
- Professor, Physics
Kelly, R. Gordon Regular Member
B.A., DePauw University, 1961;
M.A.,Claremont Graduate School, 1962;
Ph.D., University of Iowa, 1970.
- Professor, American Studies
Kelman, Zvi Regular Member
B.S., Hebrew University of Jerusalem,
1987 M.Sc, Weizmann Institute of
566
Science, 1989 Ph.D., Cornell University
Medical School, 1996
- Affiliate Professor, Biological Sciences
Kemp, William M. Regular Member
B.S., Georgia Institute of Technology,
1969; M.S., University of Florida, 1969
Ph.D., 1970.
- Professor, Marine-Estuarine-
Environmental Sciences
Kendall, Kathleen Adjunct Member
B.A., Oberlin College, 1958; M.A.,
University of Southern Mississippi, 1960;
Ph.D., Indiana University, 1966.
- Research Professor, Communication
Kennedy, Victor S. Regular Member
B.S., Sir George Williams University,
1962; M.S. .Memorial Univ of
Newfoundland-St. John's, 1964; Ph.D.,
University of Rhode Island, 1970.
- Professor, Marine-Estuarine-
Environmental Sciences
Kent, B. Regular Member
B.S., Oregon State University, 1973; M.S.,
Oregon State University, 1976; Ph.D.,
University of Maryland, 1981.
- Lecturer, Entomology
Kenworthy, William J. Regular
Member
B.S., Purdue University, 1970; M.S., North
Carolina State University, 1972; Ph.D.,
1976.
- Professor, Plant Science
Kerkham, H. Eleanor Regular
Member
B.A., Pomona College, 1961 ;M.A.,
Stanford University, 1963; Ph.D., Indiana
University-Bloomington, 1974.
- Associate Professor, Asian and East
European Languages and Cultures
- Associate Professor, Asian and East
European Languages and Cultures
- Affiliate Associate Professor, Women's
Studies
Kerstein, Samuel J. Regular
Member
B.A., Wesleyan University, 1987;
M.A., Columbia University, 1990; M.Ph.,
1991; Ph.D., 1995.
- Associate Professor, Philosophy
Kessel, Saumuel 'Woodie' Regular
Member
M.P.H., Johns Hopkins University,
Baltimore, MD; M.D., Albert Einstein
College of Medicine, Bronx, NY
- Professor of Practice, Public Health:
Maternal and Child Health Ph.D.
- Professor of Practice, Family Science
Kestnbaum, Meyer Regular Member
B.A., Harvard University, 1986; M.A.,
1989;Ph.D., 1997.
- Associate Professor, Sociology
Khachik, Frederick Adjunct Member
B.S., Pars College-Tehran, 1974; M.S.,
University of Manchester Institute of
Sciences Tech. (UMIST), 1975; Ph.D.,
1978.
- Senior Research Scientist, Nutrition
- Senior Research Scientist, Biochemistry
- Senior Research Scientist, Chemistry
Khamis, Sahar Mohamed Regular
Member
B.A., American University in Cairo, 1986;
M.A., American University in Cairo, 1989;
Ph.D., University of Manchester, 2000.
- Assistant Professor, Communication
- Affiliate Assistant Professor, Women's
Studies
- Affiliate Assistant Professor,
Communication
Khan, Maria Regular Member
B.S., Swarthmore College, 1997 MPH,
University of North Carolina, 2002 Ph.D.,
University of North Carolina, 2007
- Assistant Professor, Public Health:
Master of Public Health-Biostatistics
- Assistant Professor, Public Health:
Master of Public Health-Epidemiology
- Assistant Professor, Public Health:
Epidemiology Ph.D.
Khuller, Samir Regular Member
B.Tech., Indian Institute of Technology,
1986; M.S., Cornell University, 1989;
Ph.D., 1990.
- Professor, Computer Science
- Professor, Advanced Computer Studies,
Institute for
Kidder, John N., Jr. Regular
Member
B.A.,Occidental College, 1985; M.S.,
University of Vermont, 1991 ;
Ph.D. .University of Washington, 1996.
- Assistant Professor, Materials and
Nuclear Engineering
Kiely, Lisa Adjunct Member
B.S., Westfield State College in Biology,
1984; M.S., St. Michael's College in
Administration, 1986; Ph.D., University of
Maryland in Education, 1997.
- Affiliate Assistant Professor, Education:
Counseling and Personnel Services
Kiemel, Timothy L. Regular Member
B.Math, University of Minnesota, 1984;
M.S., Cornell University, 1987; Ph.D.,
Cornell University, 1990
- Research Assistant Professor,
Kinesiology
Kiger, Kenneth T. Regular Member
B.S., University of Southern California-Los
Angeles, 1991; M.S., University of
California-San Diego, 1993; Ph.D., 1995.
- Associate Professor, Engineering:
Mechanical Engineering
- Affiliate Associate Professor,
Engineering: Bioengineering
Kilbourne, Kelly H. Regular Member
Ph.D. University of South Florida, 2004
- Research Assistant Professor, Marine-
Estuarine-Environmental Sciences
Kill, Melanie Regular Member
B.A., The Evergreen State College, 1999;
M.A., University of Washington, 2003;
Ph.D., University of Washington, 2008.
- Assistant Professor, English Language
and Literature
Killen, Melanie A. Regular Member
B.A., Clark University, 1978; M.A.,
University of California-Berkeley, 1981 ;
Ph.D., 1985.
- Professor, Education: Human
Development
- Professor, Neuroscience and Cognitive
Science
Kim, Jinhee Regular Member
B.S., Seoul National University, 1993;
M.S., 1995; Ph.D., Virginia Polytechnic
Institute and State University, 2000.
- Associate Professor, Family Science
- Associate Professor, Public Health:
Maternal and Child Health Ph.D.
- Associate Professor, Family & Consumer
Sciences
Kim, Jungho Regular Member
B.S., University of California-Berkeley,
1982; M.S., University of Minnesota-Twin
Cities, 1986; Ph.D., 1990.
- Professor, Engineering: Mechanical
Engineering
Kim, Oliver Regular Member
B.S., Seoul National University, 1973;
Ph.D., State University of New York-Stony
Brook, 1981 ; Ph.D., University of
Pennsylvania, 1990.
- Professor, Business and Management
Kim, Seung-Kyung Regular Member
B.A., Yonsei University-Seoul, 1977; M.A.,
City University of New York-Graduate
School & Univ. Center, 1987; Ph.D.,
1990.
- Associate Professor, Women's Studies
- Affiliate Associate Professor, American
Studies
- Affiliate Associate Professor,
Anthropology
Kim, Young Suh Regular Member
B.S., Carnegie Institute of Technology,
1958; Ph.D., Princeton University, 1961.
- Professor, Physics
King, Dennis M. Adjunct Member
B.B.A., University of Massachusetts-
Amherst, 1970; M.S., 1973;
Ph.D. .University of Rhode Island, 1977.
- Research Professor, Marine-Estuarine-
Environmental Sciences
- Associate Research Professor,
Chesapeake Biological Laborabory, UM
King, Henry C. Regular Member
A.B., Brown University, 1969;
M.A., University of California-Berkeley,
1973; Ph.D., 1974.
- Professor Emeritus, Mathematics
King, Katherine R. Regular Member
B.A., University of California-Santa Cruz,
1975; Ph.D., 1987.
- Associate Professor, Women's Studies
- Affiliate Associate Professor, American
Studies
King, Pamela Benette Regular
Member
B.S., University of Maryland at Baltimore,
1977 M.S., University of Maryland-College
Park, 1987.
- Acting Director, Extension Service
Charles
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service Charles
King, Richard G. Regular Member
B.Mus., University of Alberta-
Edmonton, 1981; M.Mus., 1984; Ph.D.,
Stanford University, 1992.
- Associate Professor, Music
Kingsford, Carl Regular Member
B.S. Duke University, 2000; M.A.
Princeton University, 2002; Ph.D.
Princeton University, 2005.
- Assistant Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Assistant Professor, Biological Sciences
- Assistant Professor, Computer Science
- Affiliate Assistant Professor,
Engineering: Bioengineering
Kirk, James A. Regular Member
B.S., Ohio University-Athens, 1967 M.S.,
Massachusetts Institute of Technology,
1969; Sc.D., 1972.
- Professor Emeritus, Engineering:
Mechanical Engineering
Kirk-Davidoff, Daniel Adjunct
Member
B.S. in Geology and Geophysics 1990,
Yale University Ph.D. in Meteorology
1998, M. IT.
567
- Adjunct Assistant Professor,
Atmospheric and Oceanic Science
Kirkland-Gordon, Sharon Adjunct
Member
B.S., Spelman College in Psychology,
1979; M.S., University of Buffalo in
Rehabilitation Counseling, 1979; D.O.,
University of Buffalo in Counseling
Psychology, 1991.
- Affiliate Assistant Professor, Education:
Counseling and Personnel Services
Kirkley, Donald H., Jr. Regular
Member
B.A., University of Maryland-College Park,
1960; M.A., 1962; Ph.D., Ohio University-
Athens, 1967.
- Associate Professor Emeritus, College of
Arts and Humanities
Kirkpatrick, Theodore R. Regular
Member
B.S., University of California-Los Angeles,
1977; Ph.D., Rockefeller University, 1981.
- Professor, Physics
- Professor, Chemical Physics
Kirsch, David Regular Member
B.A., Harvard College, 1988; M.A., State
University of Limberg, 1992; Ph.D.,
Stanford University, 1997.
- Assistant Professor, Business and
Management
Kirschenbaum, Matthew
G. Regular Member
B.A., SUNY Albany, 1 992; M.A.,
University of Virginia, 1994; Ph.D.,
University of Virginia, 1999.
- Associate Professor, English Language
and Literature
- Affiliate Assistant Professor, American
Studies
Kirwan, William E. Regular Member
A.B., University of Kentucky, 1960; M.S.,
Rutgers Unviersity, 1962; Ph.D., Rutgers
University, 1964.
- Chancellor, University System of
Maryland
- Professor, Mathematics
Kishek, Rami Alfred Regular
Member
Ph.d., University of Michigan, 1997
M.S.E., University of Michigan, 1995
B.S.E., University of Michigan, 1993
- Assistant Research Scientist, Applied
Mathematics & Statistics, and Scientific
Computation
Kiss, Elinda F. Regular Member
B.A., Washington University in Saint
Louis, 1969; M.A., University of
Rochester, 1972; Ph.D., 1983.
- Lecturer, Business and Management
Kitson, J. R. Adjunct Member
B.Mus., University of British Columbia-
Vancouver, 1971; M.Mus., 1973; Ph.D.,
1986.
- Research Coordinator, 19th Century
Music, Center for Studies in
Kivlighan, Dennis Regular Member
B.S., College of William and Mary
Psychology, 1975; M.S., Virginia
Commonwealth University Counseling
Psychology, 1980; Ph.D., Virginia
Commonwealth University Counseling
Psychology (APA Accredited) Specialty
Area: Group Counseling and
Psychotherapy, 1982.
- Chair, Education: Counseling and
Personnel Services
- Professor, Education: Counseling and
Personnel Services
Kivlighan, Dennis Jr Regular
Member
B.S., College of William and Mary, 1975;
M.S., Virginia Commonwealth University,
1980; Ph.D., Virginia Commonwealth
University, 1982
- Chair, Education: Special Education
Klank, Richard E. Regular Member
B.Arch., Catholic University of America,
1962;M.F.A., 1964.
- Associate Professor, Art Studio
Klauda, Jeffery Regular Member
Ph.D. University of Delaware
- Assistant Professor, Engineering:
Chemical Engineering
- DEFAULT, Engineering: Chemical
Engineering
Klees, Steven J. Regular Member
B.A., CUNY-Queens College, 1968;M.A.,
Stanford University, 1971; M.B.A., 1971;
Ph.D., 1975.
- Professor, Education: Policy and
Leadership
- Professor, Higher Education and
International Education
Kleiman, Devra Adjunct Member
- Adjunct Professor, Biological Sciences
Klein, Elisa L. Regular Member
B.A., Kalamazoo College, 1975; M.S.,
Pennsylvania State University-University
Park, 1977; Ph.D., 1980.
- Associate Professor, Education: Human
Development
Kleinman, Dushanka Regular
Member
D.D.S., University of Illinois; M.Sc.D.,
Boston University.
- Associate Dean, Public Health: Master of
Public Health-Biostatistics
- Associate Dean, Public Health: Master of
Public Health-Epidemiology
- Associate Dean, Public Health:
Epidemiology Ph.D.
Kleinman, Peter J. A. Special
Member
B.S., Cornell Univ. 1989; M.S., 1995;
Ph.D., 1999.
- DEFAULT, Enviromental Science and
Technology
Kleykamp, Meredith Regular
Member
B.A., University of Texas as Austin, 1998;
M.A., Princeton University, 2001; Ph.D.,
Princeton University, 2007.
- Assistant Professor, Sociology
Klumpp, James F. Regular Member
B.A., University of Kansas, 1968; M.A.,
University of Minnesota, 1 971 ; Ph.D.,
1973.
- Professor, Communication
- Affiliate Associate Professor, American
Studies
Knaap, Gerrit Regular Member
B.S., Willamette University-Salem, 1978;
M.S., University of Oregon, 1982; Ph.D.,
1982.
- Professor, Urban Studies and Planning
- Professor, Urban and Regional Planning
and Design
Knepp, William H. Regular Member
B.S., University of Maryland-College
Park,1980; M.S., West Virginia University,
1999.
- Agent, Extension Service Allegany
Koblinsky, Sally A. Regular Member
A.B., University of California-Santa Cruz,
1971; M. A., San Francisco State
University, 1973; Ph.D., Oregon State
University, 1977.
- Chair, Public Health: Maternal and Child
Health Ph.D.
- Professor, Family Science
- Affiliate Professor, Aging, Center on
- DEFAULT, Office of the President
Koch, Evamaria Regular Member
B.S., Federal University of Rio Grande Do
Sul, 1 988; M.S., University of South
Florida, 1988; Ph.D., 1993.
-Associate Professor, Marine-Estuarine-
Environmental Sciences
- Research Scientist, University of
Connecticut
Kocher, Thomas D. Regular Member
B.A., Yale University, 1981; Ph.D.,
University of Colorado, 1986.
- Professor, Biological Sciences
Kofinas, Peter Regular Member
B.S., Massachusetts Institute of
Technology, 1989; M.S., 1989; Ph.D.,
1994.
-Associate Chair, Engineering:
Bioengineering
- Director, Engineering: Bioengineering
- Professor, Chemical Physics
- Professor, Engineering: Bioengineering
-Affiliate Professor, Engineering:
Materials Science and Engineering
-Affiliate Professor, Engineering:
Chemical Engineering
Kohl, Frances L. Regular Member
B.S., University of Wisconsin-Madison,
1973; M.Ed., Temple University, 1975;
Ph.D., University of lllinois-
Urbana/Champaign, 1979.
-Associate Professor, Education: Special
Education
Kohn, Richard A. Regular Member
B.S., Cornell University, 1985;M.S.,
University of New Hampshire-Durham,
1987; Ph.D., Michigan State University,
1993.
- Professor, Animal Sciences
Kolesar, Joseph D. Adjunct Member
PhD. University of Maryland College Park,
2004
Applied Research Mathematician for US
Government
- DEFAULT, Mathemetics of Advanced
Industrial Technology
Koliji, Hooman Regular Member
B.Arch., Shahid Beheshti University, 1997;
M.Arch., Shahid Beheshti University,
2000; MLA, Virginia Tech, 2009.
- Assistant Professor, Architecture
Kolodny, Richard Regular Member
B.S.B.A., Northwestern University, 1965;
M.B.A., New York University, 1 967; Ph.D.,
1972.
- Professor Emeritus, Business and
Management
Komives, Susan R. Regular Member
B.S., Florida State University, 1968; M.S.,
1969; Ed.D., University of Tennessee-
Knoxville, 1973.
- Professor, Education: Counseling and
Personnel Services
Koralov, Leonid Regular Member
B.S., Moscow State University, 1991 ;
Ph.D., State University of New York at
Stony Brook, 1998.
- Professor, Mathematics
- Associate Professor, Mathematical
Statistics
568
Korenman, Victor Regular Member
B.A., Princeton University, 1958; M.A.,
Harvard University, 1959; Ph.D., 1965.
- Assistant Provost, Academic Affairs
- Professor, Physics
- Professor, Academic Affairs
Korinek, Anton Regular Member
M.A., Columbia University, 2003; M.Phil.,
Columbia University, 2004; Ph.D.,
Columbia University, 2007.
- Assistant Professor, Economics
Korzeniewicz, Roberto P. Regular
Member
B.A., University of California-Santa Cruz,
1980; M.A., State University of New York-
Binghamton, 1985; Ph.D., 1989.
- Professor, Sociology
Koscielniak, Irmgard R. Regular
Member
B.S., State University of New York-
Buffalo, 1981; M.S., Hood College, 1986.
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service Garrett
Koser, Julie Regular Member
Ph.D. University of California, Berkeley,
2007
- Assistant Professor, German Literature
and Language
- Affiliate Assistant Professor, Women's
Studies
- Affiliate Assistant Professor, Germanic
Studies
Kousky, Vernon Adjunct Member
- Adjunct Professor, Atmospheric and
Oceanic Science
Koutsos, Elizabeth A. Adjunct
Member
B.A., University of Maryland College Park,
1998; M.A., University of California, Davis,
2000; Ph.D., University of California,
Davis, 2002
- Adjunct Assistant Professor, Animal
Sciences
Koziol, Stephen Regular Member
A.B., University of Rochester, 1965; M.A.,
University of Rochester, 1967; Ph.D.,
Stanford University, 1971.
- Associate Dean, Education: Curriculum
and Instruction
Krapfel, Robert E., Jr. Regular
Member
B.A., University of Connecticut-Storrs,
1970; M.B.A., 1975; Ph.D., Michigan State
University, 1979.
- Associate Professor, Business and
Management
Kratochvil, Robert James Regular
Member
B.S., Montana State University, 1972;
M.S., University of Maryland-College Park,
1988; Ph.D., 1994.
- Associate Professor, Plant Science
Kraus, Kari Michaele Regular
Member
B.A., Texas A&M University, 1991; M.A.,
Texas A&M University, 1995; Ph.D.,
University of Rochester, 2006.
- Assistant Professor, Library Science
- Assistant Professor, English Language
and Literature
- Assistant Professor, Information Studies
Krishnaprasad,
Perinkulam Regular Member
B.Tech. Indian Institute of Technology-
Bombay, 1972; M.S., Syracuse University,
1973;Ph.D., Harvard University, 1977.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor, Systems Research, Institute
for
- Professor, Engineering: Electrical &
Computer Engineering
- Professor, Neuroscience and Cognitive
Science
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Engineering: Systems
Engineering
Kroll, Kim S. Adjunct Member
B.A., Indiana University-South Bend,
1976; M.S., Purdue University, 1979;
Ph.D., 1983.
- Research Associate, Agricultural
Experiment Station
Kruglanski, Arie W. Regular
Member
B.A., University of Toronto, 1966; M.A.,
University of California-Los Angeles,
1967; Ph.D., 1968.
- Distinguished University Professor,
Psychology
- Professor, Psychology
Kruskal, Clyde P. Regular Member
B.A., Brandeis University, 1976;
M.S.,Courant Institute of Mathematical
Sciences-NYU, 1978; Ph.D., 1981.
- Associate Professor, Computer Science
Kudisch, Jeffrey Regular Member
B.S., University of Florida, 1986; M.S.,
University of Florida, 1989; Ph.D.,
University of Tennessee-Knoxville, 1996.
- Lecturer, Business and Management
Kueker, David W. Regular Member
A.B., University of California-Los Angeles,
1964; M.A., 1966; Ph.D., 1967.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Mathematics
Kuennen, Daniel S. Regular Member
B.A., St. Louis University, 1968;
M.S. .Southern Illinois University, 1972;
M.A., University of Delaware, 1994.
- Senior Agent, CES - UM Eastern Shore
- Senior Agent, Cooperative Extension
Service
Kuo, Jason C. Regular Member
B.A., National Taiwan University, 1971 ;
M.A., 1973; Ph.D., University of Michigan-
Ann Arbor, 1980.
- Professor, Art History and Archaeology
Kwak, June Regular Member
B.S., Yonsei University, Korea, 1987;
M.S., Pohan Univesity of Science &
Technology, korea, 1993; Ph.D., Pohang
University of Science and Technology,
1997
- Assistant Professor, Biological Sciences
- Affiliate Associate Professor, Plant
Science
Kweon, Byoung-Suk Regular
Member
B.S., University of Seoul, Korea, 1985;
B.L.A., The City University of New York,
1989; M.L.A., Cornell University, 1992;
Ph.D., University of Illinois
Urbana/Champaign, 1999
- Assistant Professor, Landscape
Architecture
- Assistant Professor, Plant Science
La Porta, Arthur Regular Member
B.A., Columbia University, 1984; Ph.D.,
UCSan Diego, 1996;
- Assistant Professor, Chemical Physics
- Assistant Professor, Physics
- Assistant Professor, Biophysics
La, Richard Regular Member
B.S., University of Maryland-College Park,
1994; M.S., University of California-
Berkeley, 1997; Ph.D., 2000
-Associate Professor, Engineering:
Electrical & Computer Engineering
-Associate Professor, Engineering:
Systems Engineering
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Labandeira, Conrad Special
Member
B.A., California State University Fresno,
1980; M.S., University of Wisconsin
Milwaukee, 1986; Ph.D., University of
Chicago, 1990.
- Adjunct Professor, Biological Sciences
- Adjunct Professor, Entomology
Lachenmayr, Lisa A. Regular
Member
B.S., Ithaca College, 1993; M.S. .Indiana
University-Bloomington, 1997.
- Agent, Extension Service Baltimore
County
- Agent, Cooperative Extension Service
Lacorte, Jose Manuel Regular
Member
B.A., University of Barcelona,1991; M.A.,
University of Illinois-Chicago, 1994; Ph.D.,
University of Edinburgh, 1999.
- Associate Professor, Spanish and
Portuguese Languages and Literatures
LaFortune, Jeanne Regular Member
B.A., McGill University, 2002; M.A.,
University of Toronto, 2003; Ph.D.,
Massachusetts Institute of Technology,
2008.
- Assistant Professor, Economics
Lafree, Gary D. Regular Member
B.A., Indiana Wesleyan University, 1973;
M.A., 1975; Ph.D., 1979.
- Professor, Criminology and Criminal
Justice
- Affiliate Professor, Sociology
Lahiri, Partha Regular Member
Ph.D, University of Florida, 1986.
- Professor, Survey Methodology
- Professor, Mathematical Statistics
Laiman, David L. Regular Member
B.A., University of Kansas, 1974 M.A.,
1978; Ph.D., University of Rochester,
1985.
- Associate Professor, Government and
Politics
Lamone, Rudolph P. Regular
Member
B.S., University of North Carolina, 1960;
Ph.D., 1966.
- Professor Emeritus, Business and
Management
Lamp, William Regular Member
B.S., University of Nebraska-Lincoln,
1972; M.S., Ohio State University-
Columbus, 1976; Ph.D., University of
Nebraska-Lincoln, 1980.
- Associate Professor, Entomology
- Associate Professor, Biological
Sciences
Lampe, John R. Regular Member
B.A., Harvard University, 1957;
M.A., University of Minnesota-Twin Cities,
1964; Ph.D. .University of Wisconsin-
Madison, 1971.
- Professor, History
569
Landa, Edward Special Member
B.S. City College of New York, 1970; M.S.
Univ. of Minnesota, 1972; M.P.H. 1974;
Ph.D. 1975.
- Adjunct Professor, Enviromental Science
and Technology
Landau, Paul Regular Member
B.A., Wesleyan University, 1984; M.A.,
University of Wisconsin-Madison, 1986;
Ph.D., 1992.
- Associate Professor, History
Landry, L. Bartholomew Regular
Member
B.A., St. Mary's Seminary and University,
1961 B.A., Xavier University, 1966; Ph.D.,
Columbia University, 1971.
- Professor Emeritus, Sociology
- Affiliate Associate Professor, American
Studies
Lang, Megan W. Special Member
B.S. College of Charleston, 1 997; M.S.
Univ. of Maryland, 2000; Ph.D. 2005.
- DEFAULT, Enviromental Science and
Technology
Lange, Andreas Regular Member
B.A., University of Birmingham, U.K.,
1994; Ph.D., University of Heidelberg,
2000
- Adjunct Professor, Agricultural and
Resource Economics
Langenberg, Donald N. Regular
Member
B.S., Iowa State University, 1953;M.S.,
University of California-Los Angeles,
1955; Ph.D., University of California-
Berkeley, 1959.
- Chancellor Emeritus, University System
of Maryland
- Professor, Physics
Lanser, Susan Regular Member
B.A., Marquette University,1965; M.A.,
University of Wisconsin-Madison, 1973;
Ph.D., 1979.
- Distinguished Scholar-Teacher,
Distinguished Faculty
Lansing, Stephanie Regular
Member
B.S., Univ. Oklahoma, 2000; M.S., Ohio
State Univ., 2005; Ph.D., 2008.
- Assistant Professor, Enviromental
Science and Technology
Lapin, Hayim Regular Member
B.A., Columbia University, 1986; B.A.,
Jewish Theological Seminary, 1987;
M.A.,1987; Ph.D., Columbia University,
1994.
- Professor, Jewish Studies
- Professor, History
- Professor, Jewish Studies
Lapinski, Tadeusz A. Regular
Member
B.A., Academy of Fine Arts-Warsaw,
1953;M.F.A., 1955.
- Professor, Art Studio
LaRonde-LeBlanc, Nicole Regular
Member
B.S., Chemistry, 1995, Rivier College;
Ph.D., Biophysics and Biophysical
Chemistry, 2002, Johns Hopkins
University, School of Medicine
- Assistant Professor, Biochemistry
Larsen, Laurel Special Member
B.S., Washington University in St. Louis,
2003; M.A., Washington University in St.
Louis, 2003; Ph.D., University of
Colorado, 2008.
- DEFAULT, Geology
Laskowski, Michael C. Regular
Member
B.A., University of Wisconsin-Madison,
1978; Ph.D. .University of California-
Berkeley, 1987.
- Professor, Mathematics
Lasnik, Howard Regular Member
B.S., Carnegie Institute of Technology,
1967; M.A., Harvard University, 1969;
Ph.D., Massachusetts Institute of
Technology, 1972.
- Distinguished University Professor,
Linguistics
- Professor, Neuroscience and Cognitive
Science
LaTaillade, Jaslean Regular Member
B.S., Cornell University, 1990; Ph.D.,
University of Washington, 1999.
- Adjunct Assistant Professor, Family
Science
Lathrop, Daniel P. Regular Member
B.A., University of California-Berkeley,
1987; Ph.D., University of Texas-Austin,
1991.
- Director, Institute for Research in
Electronics and Applied Physics
- Professor, Geology
- Professor, Chemical Physics
- Professor, Physics
Lau, William Special Member
- Adjunct Professor, Atmospheric and
Oceanic Science
Laub, John H. Regular Member
B.A., University of Illinois at Chicago
Circle, 1975; M.A., SUNY-Albany, 1976;
Ph.D., 1980.
- Distinguished University Professor,
Criminology and Criminal Justice
- Affiliate Professor, Sociology
Lavine, Roberta Z. Regular Member
B.A., City University of New York-Queens
College, 1974; M.A.,Catholic University of
America, 1976; Ph.D., 1983.
- Associate Professor, Spanish and
Portuguese Languages and Literatures
Lawson, Lewis A. Regular Member
B.S., East Tennessee State University-
Johnson City, 1957; M.A., 1959; Ph.D.,
University of Wisconsin-Madison, 1964.
- Professor Emeritus, English Language
and Literature
Lawson, Wesley G. Regular Member
B.S.E.E., University of Maryland-College
Park,1980; M.S., 1981; Ph.D., 1985.
- Associate Chair, Engineering: Electrical
& Computer Engineering
- Professor, Engineering: Electrical &
Computer Engineering
Lay, David C. Regular Member
B.A., Aurora College, 1962; M.A.,
University of California-Los Angeles,
1965; Ph.D., 1966.
- Professor Emeritus, Mathematics
- Professor Emeritus, Distinguished
Faculty
Layman, John W. Regular Member
A.B., Park College, 1955;M.S.Ed., Temple
University, 1962; Ed.D., Oklahoma State
University-Stillwater, 1970.
- Professor Emeritus, Education:
Curriculum and Instruction
- Professor Emeritus, Physics
Lazur, Andrew M. Regular Member
B.S., University of South Carolina, 1 979;
M.S., Auburn University, 1981; Ph.D.,
Auburn University, 1990
-Associate Professor, Marine-Estuarine-
Environmental Sciences
Lea-Cox, John D. Regular Member
B.S., University of Natal-Pietermaritzburg
(South Africa), 1983; M.S., 1989; Ph.D.,
University of Florida, 1993.
- Professor, Plant Science
Leathers, Howard D. Regular
Member
A.B., Princeton University, 1974; M.S.,
University of Minnesota-Twin Cities, 1978;
Ph.D., University of Wisconsin-Madison,
1986.
- Associate Professor, Agricultural and
Resource Economics
Lee, Cheng S. Regular Member
B.S., National Cheng Kung University-
Taiwan, 1981; Ph.D., Rensselaer
Polytechnic Institute, 1988.
- Associate Professor, Chemistry
- Affiliate Associate Professor,
Engineering: Bioengineering
Lee, Chi Hsiang Regular Member
B.S., National Taiwan University, 1959;
M.S., Harvard University, 1962; Ph.D.,
1967.
- Professor, Systems Research, Institute
for
- Professor Emeritus, Engineering:
Electrical & Computer Engineering
Lee, Cin-Ty A. Special Member
B.A., University of California, Berkeley,
1996; Ph.D., Harvard University, 2001.
- DEFAULT, Geology
Lee, Courtland Regular Member
B.A., History/secondary Educ, Hofstra
Univ., 1 971 ; M.S., Guidance and
Counseling, Hunter College, New York
1976; Ph.D., Counseling, Michigan State
Univ., 1979.
- Professor, Education: Counseling and
Personnel Services
Lee, Frances E. Regular Member
B.A., University of Southern Mississippi,
1991; Ph.D., Vanderbilt University, 1997.
- Associate Professor, Government and
Politics
Lee, Hey-Kyoung Regular Member
Ph.D., Brown University, 1997
- Associate Professor, Biological
Sciences
Lee, Hugh M. Regular Member
B.A., St. Mary's College of California,
1966; M.A., Stanford University, 1971;
Ph.D., 1972.
- Professor, Classics
Lee, Mei-Ling Ph.D., University
of Pittsburgh, 1980 Regular Member
Dr. Mei-Ling Ting Lee is Professor and
Director of the Biostatistics Research
Center at the University of Maryland,
College Park. Dr. Lee holds Fellowship
status in several international statistical
organizations, including the American
Statistical Association, the Institute of
Mathematical Statistics, and the Royal
Statistical Society. She was named the
Mosteller Statistician of the Year in 2005
by the American Statistical Association,
Boston Chapter.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Lee, Mei-Ling, Ph.D. Regular
Member
B.S., National Taiwan University, 1975
M.S., National Tsing Hua University, 1977
M.A., University of Pittsburgh, 1978 Ph.D.,
570
University of Pittsburgh, 1980
- Professor, Public Health: Epidemiology
Ph.D.
- Professor, Public Health: Master of
Public Health-Biostatistics
- Professor, Public Health: Master of
Public Health-Epidemiology
Lee, Sang Bok Regular Member
B.S., Seoul National University, 1990;
M.S. 1992; Ph.D. 1997
- Associate Professor, Chemistry
- Associate Professor, Chemical Physics
- Affiliate Assistant Professor,
Engineering: Chemical Engineering
Lee, Seong-Ho Regular Member
B. S., Korea University, 1991; M. S.,
Korea University, 1993; Ph.D., Korea
University, 1999.
- Assistant Professor, Nutrition
Lee, Soohyung Regular Member
B.A., Seoul National University, 1998;
Ph.D., Stanford University, 2008.
- Assistant Professor, Economics
Lee, Sung W. Regular Member
B.S., Seoul National University, 1966;
M.S., Massachusetts Institute of
Technology, 1974; Ph.D., 1978.
- Professor, Engineering: Aerospace
Engineering
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Lee, Sunmin Regular Member
M.P.H., Seoul National University; Sc.D.,
Harvard University School of Public
Health.
- Assistant Professor, Public Health:
Master of Public Health-Biostatistics
- Assistant Professor, Public Health:
Master of Public Health-Epidemiology
- Assistant Professor, Public Health:
Epidemiology Ph.D.
Lee, Vincent Regular Member
Ph.D. University of California - Los
Angeles, 2000
- Assistant Professor, Biological Sciences
Leete, Burt A. Regular Member
B.S., Juniata College, 1962; M.B.A.,
University of Maryland-College Park, 1964
J.D., American University, 1969.
- Professor, Business and Management
Lefkoff-Hagius, Roxanne Regular
Member
B.S.,West Virginia University, 1980;
M.B.A., University of Houston, 1982;
Ph.D. .University of North Carolina-Chapel
Hill, 1990.
- Lecturer, Business and Management
Legutko, Agnieszka Regular
Member
M.A., Jagiellonian University, 2002; M.A.,
Columbia University, 2006; M.Phil.,
Columbia University, 2008.
- Visiting Assistant Professor, Jewish
Studies
Lehner, Ellen Correl Regular
Member
B.S., Douglass College, 1951;
M.S. .Purdue University, 1953; Ph.D.,
1958.
- Professor Emerita, Mathematics
Lehner, Guydo R. Regular Member
B.S., Loyola University, 1951;
M.S. .University of Wisconsin-Madison,
1953; Ph.D., 1958.
- Professor Emeritus, Mathematics
Lei, David K. Y. Regular Member
B.S., University of London, 1968; M.S.,
University of Guelph-Ontario, 1970; Ph.D.,
Michigan State University, 1973.
- Professor, Nutrition
- Professor, Food Science
- Professor, Nutrition and Food Science
Leinwand, Theodore B. Regular
Member
B.A., Hamilton College, 1973; M.A.,Johns
Hopkins University, 1978; Ph.D., 1980.
- Professor, English Language and
Literature
Leishman, John G. Regular Member
B.S., University of Glasgow, 1980; Ph.D.,
1984.
- Professor, Engineering: Aerospace
Engineering
Leisnham, Paul Regular Member
B.S., Univ. Otago, New Zealand, 1999;
M.S., 2001; Ph.D., 2005.
- Assistant Professor, Enviromental
Science and Technology
Lejuez, Carl W. Regular Member
B.A., Emory University, 1993; M.A., West
Virginia University, 1997; Ph.D. 2000.
- Professor, Psychology
- Professor, Neuroscience and Cognitive
Science
Lekic, Maria D. Regular Member
M.A., Moscow State Pedagogical Institute,
1970; Ph.D., University of Pennsylvania,
1983.
- Associate Professor, Russian Language
and Literature
- Associate Professor, Asian and East
European Languages and Cultures
Lekic, Vedran Regular Member
A.B., Harvard University, 2004; Ph.D.
University of California, Berkeley, 2009.
- Assistant Professor, Geology
Lele, Shreevardhan Regular
Member
Bachelor of Technology, Indian Institute of
Technology-Madras, 1987; M.A.,
University of Michigan-Ann Arbor, 1991 ;
Ph.D., 1996.
- Assistant Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Lecturer, Business and Management
Lengermann, Joseph J. Regular
Member
B.A., University of Notre Dame, 1958;
S.T.B., Gregorian University-Rome, 1960;
S.T.L., Gigorian University-Rome, 1962;
M.A., University of Notre Dame,
1964;Ph.D., Cornell University, 1969.
- Associate Professor, Sociology
Lent, Robert W. Regular Member
B.A., State University of New York-Albany,
1975; M.A., Ohio State University-
Columbus, 1977; Ph.D., Ohio State
University-Columbus, 1979.
- Professor, Education: Counseling and
Personnel Services
Leonard, Kenneth Regular Member
B.A. Swarthmore, 1989; Ph.D. University
of California-Berkeley, 1997.
- Associate Professor, Agricultural and
Resource Economics
Leonardi, Susan Regular Member
B.A.Jmmaculata College, 1968; M.A.,
University of California-Davis, 1982;
Ph.D., 1986.
- Professor Emerita, English Language
and Literature
- Affiliate Professor, American Studies
Leone, Mark P. Regular Member
B.A.,Tufts University, 1963; M.A.,
University of Arizona, 1965; Ph.D., 1968.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor, Anthropology
- Affiliate Professor, American Studies
Leone, Peter E. Regular Member
B.A., University of Iowa, 1972; M.A.,
1974;Ph.D., University of Washington,
1981.
- Professor, Education: Special Education
Lepkowski, James M. Regular
Member
B.A., Illinois State University, 1970;
M.P.H., University of Michigan, 1976;
Ph.D., University of Michigan, 1980
- Research Professor, Survey
Methodology
Leslie, Leigh A. Regular Member
B.S., Texas Tech University, 1975; M.S.,
1977; Ph.D., Pennsylvania State
University-University Park, 1982.
-Associate Professor, Public Health:
Maternal and Child Health Ph.D.
- Associate Professor, Family Science
- Affiliate Associate Professor, Women's
Studies
Letzter, Jacqueline Regular Member
J.D., Belgium, 1978; L.L.M., University of
Michigan-Ann Arbor, 1979; Ph.D., Harvard
University, 1995.
- Affiliate Associate Professor, Women's
Studies
Leventhal, Marvin Regular Member
B.S., City College of New York, 1 958;
PhD., Brown University, 1964.
- Professor Emeritus, Astronomy
Levermore, Charles D. Regular
Member
B.S.(Math) 1974, B.S. (Physics) 1974,
M.S. (Math), Clarkson University, 1974;
Ph.D. (Math), New York University, 1982.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Mathematics
Levine, Robert S. Regular Member
B.A., Columbia University, 1975;
M.A., Stanford University, 1977; Ph.D.,
1981.
- Professor, English Language and
Literature
- Affiliate Professor, American Studies
Levine, William S. Regular Member
B.S., Massachusetts Institute of
Technology, 1962; M.S., 1965; Ph.D.,
1969.
- Professor, Neuroscience and Cognitive
Science
- Research Professor, Engineering:
Electrical & Computer Engineering
Levinson, Jerrold Regular Member
B.S., Massachusetts Institute of
Technology, 1969; Ph.D., University of
Michigan, Ann Arbor, 1974.
- Distinguished University Professor,
Philosophy
Leviton, Daniel Regular Member
B.S., George Washington University,
1953; M.A., Springfield College, 1956;
Ph.D. .University of Maryland-College
Park, 1967.
- Professor, Aging, Center on
Levy, Doron Regular Member
B.Sc, Tel-Aviv Universityjsrael 1991
M.Sc, Tel-Aviv University, 1994 Ph.D.,
Tel-Aviv University,! 997
571
- Professor, Mathematics
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Levy, Mark R. Regular Member
B.A., Johns Hopkins University, 1964;
M.A., Rutgers University-New Brunswick,
1965; M.A., Columbia University, 1975;
Ph.D., 1977.
- Professor, College of Journalism
Lewis, James William, Jr. Regular
Member
B.S., University of Maryland-College Park,
1989; M.S., 1992.
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service
Caroline
Lewis, Mark J. Regular Member
B.S., Massachusetts Institute of
Technology, 1983; B.S., 1983; M.S., 1985;
Ph.D., 1988.
- Chair, Engineering: Aerospace
Engineering
Lewis, Roger K. Regular Member
B.Arch., Massachusetts Institute of
Technology, 1964; M.Arch., 1967.
- Professor Emeritus, Architecture
Li, Ming Regular Member
B.E., Hohai University, 1983; Ph.D.,
University of Oxford, 1991
- Associate Professor, Marine-Estuarine-
Environmental Sciences
Li, Teng Regular Member
Ph.D. from Division of Engineering and
Applied Sciences, Harvard University, and
joined the faculty of Department of
Mechanical Engineering, University of
Maryland in 2006, after earlier studies at
Princeton University and Tsinghua
University in China.
- Assistant Professor, Engineering;
Mechanical Engineering
Li, Zhanqing Regular Member
B.S., Nanjing Institute of Meteorology-
China, 1983; M.Sc, Nanjing Institute of
Meteorology-China., 1986; Ph.D., McGill
University-Canada, 1991.
- Professor, Atmospheric and Oceanic
Science
Liang, Xin-Zhong Regular Member
B.S., Zhejiang University, 1983; Ph. D.,
Institute of Atmospheric Physics, Chinese
Academy, 1987.
- Professor, Atmospheric and Oceanic
Science
Lichbach, Mark I. Regular Member
B.A., City University of New York
(Brooklyn College), 1973; M.A., Brown
University, 1975; Ph.D., Northwestern
University
- Chair, Government and Politics
- Professor, Government and Politics
Lichtenberg, Erik Regular Member
B.A., University of Chicago, 1973; Ph.D.,
University of California-Berkeley, 1985.
- Professor, Agricultural and Resource
Economics
Lichtenberg, Judith A. Regular
Member
B.A., University of Wisconsin-Madison,
1968; M.A., 1971; Ph.D., City University of
New York-Graduate School & Univ.
Center, 1978.
- Associate Professor, Philosophy and
Public Policy, Institute for
Lidz, Jeffrey Regular Member
B.S., Northwestern University, 1990; M.A.,
University of Delaware, 1992; Ph.D.,
University of Delaware, 1996.
- Professor, Linguistics
- Associate Professor, Neuroscience and
Cognitive Science
Lieber, Joan Regular Member
B.A., Rutgers State University-Douglass
College, 1969; M.S., University of
Pennsylvania, 1970; Ph.D., University of
California-Santa Barbara, 1986.
- Professor, Education: Special Education
Lightfoot, David W. Adjunct Member
B.A., King's College-London, 1966; M.A.,
University of Michigan-Ann Arbor, 1969;
Ph.D., 1971.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
Ligomenides, Panos A. Regular
Member
B.S., University of Athens, 1951; M.S.,
1952; M.S.E.E., Stanford University, 1956;
Ph.D., 1958.
- Professor Emeritus, Engineering:
Electrical & Computer Engineering
Lill, John Special Member
B.S., University of Maryland, 1990; M.S.,
University of Maryland, 1992; Ph.D.,
University of Missouri St. Louis, 1999.
- Adjunct Associate Professor,
Entomology
Lim, Eunjung Regular Member
Ph.D., University of Buffalo, 2009.
- Acting Associate Dean, Geospatial
Information Sciences
- Professor, Geography
Limao, Nuno Regular Member
M.A., Columbia, 1998 M.Phil., Columbia
1999 Ph.D., Columbia, 2001.
- Associate Professor, Economics
Lin, Jimmy Regular Member
B.S., Massachusetts Institute of
Technology (MIT); M.Eng., MIT; Ph.D.,
MIT, 2004.
- Associate Professor, Library Science
- Associate Professor, Information Studies
- Affiliate Assistant Professor, Computer
Science
Lin, Jing Regular Member
B.A., Guangxi University, 1983; M.A.,
Michigan State University, 1987;
Ed. D., University of Michigan-Ann Arbor,
1990.
- Professor, Higher Education and
International Education
- Associate Professor, Education: Policy
and Leadership
- Affiliate Professor, Women's Studies
Lindemann, Marilee Regular
Member
B.A., Indiana University, 1981; M.A.,
Rutgers State University, 1983; Ph.D.,
1991.
- Associate Professor, English Language
and Literature
- Affiliate Associate Professor, American
Studies
- Affiliate Associate Professor, Women's
Studies
Linduska, James Regular Member
B.S., University of Maryland-College Park,
1965; M.S., 1968; Ph.D., 1973.
- Professor Emeritus, Entomology
Linebaugh, Donald Regular Member
B.S., Grand Valley State University, 1979;
M.A., Ph.D., College of William and Mary,
1982, 1996.
- Director, Historic Preservation
- Associate Professor, Historic
Preservation
- Affiliate Professor, Anthropology
- Affiliate Professor, Historic Preservation
Link, Conrad B. Regular Member
B.S., Ohio State University, 1933; M.S.,
1934; Ph.D., 1940.
- Professor Emeritus, Horticulture and
Landscape Architecture
Link, Ed Adjunct Member
B.S., North Carolina State University,
1968; M.S., Mississippi State University,
1973; Ph.D., Pennsylvania State
University, 1976.
- Senior Research Engineer, Engineering:
Civil and Environmental Engineering
Lips, Karen Regular Member
B.S. University of South Florida 1 988;
Ph.D. University of Miami 1995
- Director, Sustainable Development and
Conservation Biology
- Associate Professor, Biological
Sciences
Lipsman, Ronald L. Regular
Member
B.S.,City University of New York-City
College, 1964; Ph.D., Massachusetts
Institute of Technology, 1967.
- Professor Emeritus, Mathematics
Lipton, Douglas W. Regular Member
B.S., State University of New York-Stony
Brook, 1976; M.A.,Virginia Inst, of Marine
Science-College of William & Mary, 1979;
Ph.D., University of Maryland-College
Park, 1989.
- Associate Professor, Agricultural and
Resource Economics
Lissitz, Robert W. Regular Member
B.A., Northwestern University,1963;
Ph.D., Syracuse University, 1969.
- Professor, Education: Measurement,
Statistics and Evaluation
- Affiliate Professor, Second Language
Acquisition-Ph.D.
Little, Lynn F. Regular Member
B.S., Shepherd College, 1970; M.S., Hood
College, 1981.
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service
Washington
Liu, Brooke Fisher Regular Member
CV: B.A., Washington University in St.
Louis, 2001 ; M.A., University of Missouri-
Columbia, 2003; Ph.D., University of North
Carolina-Chapel Hill, 2006.
-Assistant Professor, Communication
Liu, Chuan Sheng Regular Member
B.S.,Tunghai University, 1960; M.A.,
University of California-Berkeley, 1964;
Ph.D., 1968; Honorary Doctor, Chalmers
University of Technology-Sweden, 1994.
- Professor, Physics
Liu, Ge Adjunct Member
B.S., Nankai University, 1991; M.S.,
Nankai University, 1994; Ph.D., Case
Western Reserve University, 2001 .
- DEFAULT, Animal Sciences
Liu, Jianmei Regular Member
B.A., Beijing University/Peking University,
1989; M.A., University of Colorado-
Boulder, 1992; Ph.D., Columbia
University, 1998.
- Assistant Professor, Asian and East
European Languages and Cultures
- Assistant Professor, Asian and East
572
European Languages and Cultures
- Affiliate Associate Professor, Women's
Studies
Liu, K.J. Ray Regular Member
B.S., National Taiwan University,
1983;M.S.E., University of Michigan-Ann
Arbor, 1987; Ph.D., University of
California-Los Angeles, 1990.
- Associate Chair, Engineering: Electrical
& Computer Engineering
- Professor, Systems Research, Institute
for
- Professor, Engineering: Electrical &
Computer Engineering
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Affiliate Professor, Engineering:
Bioengineering
Liu, Meina Regular Member
B.A., Beijing University, 1997; M.A.,
Tsinghua University, 2000; Ph.D., Purdue
University, 2006.
- Assistant Professor, Communication
- Affiliate Assistant Professor, Women's
Studies
Liu, Zhongchi Regular Member
B.S., Wuhan University, 1982; M.A.,
Harvard University, 1985; Ph.D., 1990.
- Associate Professor, Biological
Sciences
- Affiliate Associate Professor, Plant
Science
Livingston, Richard A . Special
Member
A.B. Dartmouth College, 1968; B.E.
Dartmouth College, 1969; M.S. M.E.,
Stanford University, 1970; Ph.D.
University of Maryland, 1990.
- Adjunct Professor, Engineering:
Materials Science and Engineering
- Affiliate Professor, Engineering:
Materials Science and Engineering
Lloyd, Isabel K. Regular Member
B.S., Pennsylvania State University-
University Park, 1975;
Ph.D. .Massachusetts Institute of
Technology, 1980.
- Associate Professor, Engineering:
Materials Science and Engineering
- Affiliate Associate Professor,
Engineering: Bioengineering
Lo, Y. Martin Regular Member
B.S., National Taiwan University, 1989;
M.S., The Ohio State University, 1993;
Ph.D., The Ohio State University, 1995.
- Director, Food Science
- Associate Professor, Food Science
- Affiliate Associate Professor,
Engineering: Bioengineering
Lobb, Christopher J. Regular
Member
B.A., Rutgers University-New Brunswick,
1974; S.M., Harvard University, 1976;
Ph.D., 1980.
- Associate Director, Superconductivity
Research, Center for
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Physics
Loboda, Tatiana Regular Member
B.A., Moscow State Pedagogical Univ.,
1995; M.A., University of Maryland, 2004;
PhD, University of Maryland, 2008.
- Assistant Professor, Geography
Locke, Edwin A. Regular Member
B.A., Harvard University, 1960; M.A.,
Cornell University, 1962; Ph.D., 1964.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emeritus, Business and
Management
Loeb, Martin P. Regular Member
B.S., State University of New York-Stony
Brook, 1970; M.S., Northwestern
University, 1972; Ph.D., 1975.
- Area Chair, Business and Management
- Professor, Business and Management
Loeb, Stephen E. Regular Member
B.S., University of Pennsylvania, 1961;
M.B.A., University of Wisconsin-Madison,
1963; Ph.D., 1970.
- Professor, Business and Management
Loewenstein, Mark V. Regular
Member
B.A., University of California-Los Angeles,
1983; M.B.A., 1992, Ph.D., 1996,
Columbia University.
- Assistant Professor, Business and
Management
Logan, Shirley W. Regular Member
B.A., Johnson C. Smith University, 1964;
M.A., University of North Carolina-Chapel
Hill, 1966; Ph.D., University of Maryland-
College Park, 1988.
- Professor, English Language and
Literature
- Affiliate Professor, Women's Studies
Loizeaux, Elizabeth
Bergmann Regular Member
B.A., Mount Holyoke College, 1972;M.A.,
University of Michigan-Ann Arbor, 1974;
Ph.D., 1980.
- Professor, English Language and
Literature
Loizeaux, Peter S. Regular Member
B.S., University of Maryland-College Park,
1956; D.V.M., University of Georgia, 1960
M.S., University of Rochester, 1963;
M.P.H., University of Texas School of
Public Health, 1992.
- Associate Director, Virginia-Maryland
Regional College of Veterinary Medicine
Loncaric, Josip Adjunct Member
B.S., Massachusetts Institute of
Technology, 1981; B.S., 1982; M.S.,
Harvard University, 1983; Ph.D., 1985.
- Assistant Research Scientist, Systems
Research Center
Long, Michael H. Regular Member
LL.B., University of Birmingham, 1966;
PGCE, University of London, 1 970; M.A.,
University of Essex, 1974; Ph.D., UCLA,
1980.
- Professor, Second Language
Acquisition-Ph.D.
Lopez, Ramon E. Regular Member
B.S., University of Chile-Santiago, 1 969;
M.A., 1971; M.S. .University of British
Columbia- Vancouver, 1977; Ph.D., 1980.
- Professor, Agricultural and Resource
Economics
Lopez-Escobar, Edgar G.
K. Regular Member
B.A., Cambridge University, 1958;
M.A., University of California-Berkeley,
1961; Ph.D., 1965.
- Professor, Mathematics
Lorente, Rafael Adjunct Member
B.A., University of Miami, 1990; M.A.,
University of Maryland, 1998.
- Lecturer, Journalism
Lorimer, George H. Regular Member
B.S., University of St. Andrews,
1965;M.S., University of Illinois-Chicago,
1968; Ph.D., Michigan State
University, 1972.
- Distinguished University Professor,
Chemical Physics
- Distinguished University Professor,
Biochemistry
- Distinguished University Professor,
Biophysics
- Distinguished University Professor,
Chemistry
Losert, Wolfgang Regular Member
Ph.D., City College of New York 1998
- Director, Biophysics
- Associate Professor, Physics
- Associate Professor, Chemical Physics
- Affiliate Associate Professor,
Engineering: Bioengineering
Loss, John C. Regular Member
B.Arch., University of Michigan, 1954;
M. Arch., 1960.
- Professor Emeritus, Architecture
Loughran, Thomas A. Regular
Member
B.A., University of Pittsburgh, 1999; M.S.,
Carnegie Mellon University, 2003; Ph.D.,
2007 .
- Assistant Professor, Criminology and
Criminal Justice
Lounsbury, Myron O. Regular
Member
B.A., Duke University, 1961 ;
M.A., University of Pennsylvania, 1962;
Ph.D., 1966.
- Associate Professor, American Studies
Loup, Francois Regular Member
Baccalaureat Latin-Greek, Switzerland,
1960; Maturite Federale, College St
Michel, Fribourg, 1960; Diploma,
Conservatoire de Musique, 1963.
- Associate Professor, Music
Lovell, David J. Regular Member
B.A., Portland State University, 1990; M.S.,
University of California-Berkeley, 1993
Ph.D., 1997.
-Associate Professor, Engineering: Civil
and Environmental Engineering
-Associate Professor, Engineering:
Systems Engineering
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Lowry, Charles B. Regular Member
B.S., Spring Hill College, 1964; M.S.L.S.,
University of Alabama-Tuscaloosa, 1965;
M.A., University of North Carolina-Chapel
Hill, 1974; Ph.D., University of
Florida,1979.
- Dean of Libraries, University of Maryland
Libraries
- Professor, University of Maryland
Libraries
- Professor Emeritus, Information Studies
- Professor Emeritus, Library Science
Lozner, Ruth J. Regular Member
B.F.A., Carnegie-Mellon University, 1972;
M.F.A.,American University, 1979.
- Associate Professor, Art Studio
Lucas, Henry C, Jr. Regular
Member
B.S., Yale University, 1966; M.S.,
Massachusetts Institute of Technology,
1968; Ph.D., Yale University, 1970.
- Professor, Business and Management
Lucas, Jeffrey Regular Member
B.A.,1992, M.A.,1996; Ph.D.,2000,
University of Iowa
- Associate Professor, Sociology
Lucas, Margaretha S. Regular
Member
573
B.S.,Ohio State University, 1979; M.S.,
Iowa State University, 1983; Ph.D., 1985.
- Associate Professor, Education:
Counseling and Personnel Services
Luty, Markus A. Regular Member
B.S., University of Utah, 1987; B.S.,
1987;Ph.D., University of Chicago, 1991.
- Professor, Physics
Lyman, Frank T., Jr. Adjunct
Member
B.A., Haverford College, 1959; Ed.M,
Harvard University, 1970; Ph.D. .University
of Maryland-College Park, 1978.
- Coordinator, UM/Howard County
Southern Teacher Education Center
Lynch, Loretta M. Regular Member
B.A., University of California-Davis, 1984;
M.S., 1989; Ph.D., University of California-
Berkeley, 1 996.
- Professor, Agricultural and Resource
Economics
Lynn, Jeffrey W. Regular Member
B.S., Georgia Institute of Technology,
1969; M.S., Georgia Institute of
Technology, 1970; Ph.D., Georgia
Institute of Technology, 1974;
- Adjunct Professor, Physics
Lyon, Aidan Regular Member
BA University of Queensland, 2005; PhD
Australian National University 2009.
- Assistant Professor, Philosophy
Lyons, Clare A. Regular Member
B.S., Lewis & Clark College, 1 980; M.A.,
University of California-Santa Barbara,
1989; Ph.D., Yale University, 1996.
- Associate Professor, History
- Affiliate Associate Professor, Women's
Studies
Ma, Jianguo Regular Member
Ph.D., Cornell University, 2006
- DEFAULT, Geospatial Information
Sciences
Ma, Michael Regular Member
B.S., University of Wisconsin-Madison,
1973; M.S., 1975; Ph.D., 1978.
- Professor, Biological Sciences
- Professor, Entomology
Mabbs, Linda Regular Member
B.Mus., Northwestern University-
Evanston, 1968; M.Mus., 1970.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor, Music
Maccini, Paula Regular Member
B.S., University of Maryland-College Park,
1988; M.S., California State University-
Hayward, 1994; Ph.D. .Pennsylvania State
University-University Park, 1998.
- Associate Professor, Education: Special
Education
MacDevitt, Brian Regular Member
B.F.A., Purchase College
- Associate Professor, Theatre
MacDonald, Victoria-Maria Adjunct
Member
B.A., Wellesley College, 1983; M.Ed.,
Harvard University, 1984; Ed.D., Harvard
University, 1992.
- Assistant Professor, Education:
Curriculum and Instruction
MacDonald-Wilson, Kim Regular
Member
B.A., Albright College, Psychology, 1980;
M.S., Boston University, 1987; Sc.D.,
Boston University, Rehabilitation
Counseling, 2005; Counseling, Psychiatric
Rehab Specialization;
- Assistant Professor, Education:
Counseling and Personnel Services
Machado, Carlos Regular Member
Ph.D., University of California, Irvine,
1998
- Associate Professor, Biological
Sciences
Macharia, Keguro Regular Member
B.A., Duquesne University, 1999; M.A.,
University of Illinois at Urbana-
Champaign, 2002; Ph.D., 2008.
- Assistant Professor, Comparative
Literature
- Assistant Professor, English Language
and Literature
Machedon, Matei Regular Member
B.A., University of Chicago, 1982;
Ph.D. .Princeton University, 1986.
- Associate Chair, Mathematics
- Professor, Mathematics
Mack, Maynard, Jr. Regular Member
B.A., Yale University, 1964; M.Phil.,
1967;M.A., 1967; Ph.D., 1969.
- Professor Emeritus, English Language
and Literature
MacLachlan, Wanda
Yvette Regular Member
B.S., Kansas State University, 1981; M.S.,
University of Maryland-College Park,
1984
- Senior Agent, CES - Central Maryland
Resource and Education Center
- Senior Agent, Cooperative Extension
Service
Maclary, Edward Regular Member
B.Mus., University of Delaware, 1974;
M.Mus., Boston University, 1980; D.M.A.,
Indiana University-Bloomington, 1985.
- Professor, Music
Macleod, Anne S. Regular Member
B.A. University of Chicago, 1949; M.L.S.,
University of Maryland-College Park, 1966
Ph.D., 1973.
- Distinguished Scholar-Teacher,
Distinguished Faculty
Macready, George B. Regular
Member
B.A., Williamette University-Salem, 1965;
M.A., University of Oregon, 1967; Ph.D.,
University of Minnesota-Twin Cities,
1972.
- Professor, Education: Measurement,
Statistics and Evaluation
Madan, Dilip B. Regular Member
B.Comm., University of Bombay, 1 967;
Ph.D., University of Maryland-College
Park, 1971 ;Ph.D., 1975.
- Professor, Business and Management
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Maddux, Kristjana L. Regular
Member
B.A., University of Georgia, 2001 ; M.A.,
University of Georgia, 2003; Ph.D.,
University of Georgia, 2007.
- Assistant Professor, Communication
Madhavan, Sangeetha Regular
Member
- Assistant Professor, Afro-American
Studies
- Affiliate Assistant Professor, Women's
Studies
- Affiliate Assistant Professor, Sociology
Magrab, Edward B. Regular Member
B.M.E., City College Of New York, 1960;
M.A.E., New York University, 1 961 ; Ph.D.,
Catholic University of America, 1966
- Professor Emeritus, Engineering:
Mechanical Engineering
Maimon, Daivd Regular Member
B.A., University of Haifa, 2003; M.A.,
2005; Ph.D., Ohio State University, 2009.
- Assistant Professor, Criminology and
Criminal Justice
Mait, Joseph Adjunct Member
B.S., University of Virginia, 1979; M.S.,
Georgia Institute of Technology, 1980;
Ph.D., 1985.
- Electrical Engineer, US Army Research
Laboratory
Major, Leon Regular Member
B.A., University of Toronto, 1955.
- Professor, Music
Makowski, Armand M. Regular
Member
B.S., Universite Libre de Bruxelles-
Belgium, 1975; M.S., University of
California-Los Angeles, 1976; Ph.D.,
University of Kentucky, 1981 .
- Professor, Engineering: Electrical &
Computer Engineering
- Professor, Systems Research, Institute
for
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Engineering: Systems
Engineering
Maksimovic, Vojislav Regular
Member
B.S., London School of Economics, 1976;
M.S., 1977; Ph.D., Harvard University,
1986.
- Professor, Business and Management
Malen, Betty L. Regular Member
B.A., Concordia College-Moorhead, 1968;
M.A., University of North Dakota-Grand
Forks, 1973; Ph.D., University of
Minnesota-Minneapolis, 1983.
- Professor, Education: Policy Studies
- Professor, Education: Policy and
Leadership
Malinoski, Mary K. Regular Member
B.S., University of Delaware, 1980;M.S.,
University of California-Riverside, 1982.
- Senior Agent, CES - Home and Garden
Information Center
- Senior Agent, Cooperative Extension
Service
Mallios, Peter Regular Member
A.B., University of California-Berkeley;
M.A., University of Chicago, 1992; Ph.D.,
Stanford University, 2000.
-Associate Professor, English Language
and Literature
Malone, Thomas C. Regular Member
B.A., Colorado College, 1965; M.S.,
University of Hawaii, 1967; Ph.D.,
Stanford University, 1971.
- Professor, Marine-Estuarine-
Environmental Sciences
Manekin, Charles H. Regular
Member
B.A., Yale University, 1975;
M.A., Columbia University, 1979; Ph.D.,
1984.
- Chair, Jewish Studies
- Professor, Philosophy
- Professor, Jewish Studies
Manekin, Rachel Regular Member
B.A., Hebrew University of Jerusalem -
574
Israel, 1982; M.A., University of Maryland
- College Park, 1992; Ph.D., Hebrew
University of Jerusalem - Israel, 2001 .
- Assistant Professor, Jewish Studies
Mansbach, Steven Regular Member
B.A., Cornell University, 1972; M.A.,
Cornell University, 1976; Ph.D., Cornell
University, 1978.
- Professor, Art History and Archaeology
Mansur, Sharon F. Regular Member
B.A., Connecticut College, 1 991 ; M.F.A.,
George Mason University, 2005
- Assistant Professor, Dance
Mar, Lisa R. Regular Member
PhD, University of Toronto, 2002.
- Assistant Professor, History
Marando, Vincent L. Regular
Member
B.S., SUNYat Buffalo, 1960; M.A.,
Michigan State University, 1964; Ph.D.,
Michigan State University, 1967.
- Professor Emeritus, Government and
Politics
Marcus, Robert F. Regular Member
B.A., Montclair State University, 1965;
M.A., New York University, 1967;
Ph.D. .Pennsylvania State University-
University Park, 1973.
- Associate Professor, Education: Human
Development
Marcus, Steven I. Regular Member
B.A., Rice University, 1971;
S.M., Massachusetts Institute of
Technology, 1972; Ph.D., 1975.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Engineering: Electrical &
Computer Engineering
- Professor, Engineering: Systems
Engineering
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Marcuse, Michael J. Regular
Member
B.A., University of Pittsburgh, 1966;
M.A., University of Michigan-Ann Arbor,
1967; Ph.D., 1971.
- Associate Professor Emeritus, English
Language and Literature
Margetis, Dionisios Regular
Member
Diploma, National Technical University of
Athens, 1992; S.M., Harvard University,
1994; Ph.D., Harvard University, 1999.
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Associate Professor, Mathematics
- Assistant Professor, Institute for Physical
Sciences and Technology (IPST)
Marinelli, Marcia V. Regular Member
B.A., Goucher College, 1974 M.Ed.,
University of Maryland, 1981 Ph.D.,
University of Maryland, 1995
- Affiliate Assistant Professor, Education:
Counseling and Personnel Services
Maring, Elisabeth F. Regular
Member
B.A., Emory University, 1993; Ed.M.,
Harvard University, 1996; Ph.D.,
University of Maryland, 2006.
- Faculty Research Associate, Family &
Consumer Sciences
- Faculty Research Associate, Family
Science
Marino, John Regular Member
- Adjunct Professor, Biological Sciences
Mariuzza, Roy Regular Member
- Affiliate Professor, Biological Sciences
Marks, Colin H. Regular Member
B.S., Carnegie Institute of
Technology,1956; M.S., 1957; Ph.D.,
University of Maryland-College Park,
1965.
- Professor Emeritus, A. James Clark
School of Engineering
- Professor Emeritus, Engineering:
Mechanical Engineering
Marquez, Robert S. Regular Member
Ph.D. .Massachusetts Institute of
Technology, 1998.
- Assistant Professor, Business and
Management
Marra, Peter Adjunct Member
B.S., Southern Connecticut State
University, 1985; M.S., Louisiana State
University, 1989; Ph.D., Dartmouth
College, 1998
- Adjunct Professor, Biological Sciences
Marsh, Kris Regular Member
B.A., San Diego State University, 1996;
M.A., California state University,
Dominguez Hills, 2000; Ph.D., University
of Southern California, 2005.
- Assistant Professor, Sociology
- Affiliate Assistant Professor, Women's
Studies
Marshall, Andre W. Regular Member
B.S., Georgia Institute of Technology,
1991 ; M.S. Georgia Institute of
Technology, 1992; Ph.D., University of
Maryland, 1996.
- Associate Professor, Engineering: Fire
Protection Engineering
- Affiliate Associate Professor,
Engineering: Mechanical Engineering
Martin, Aaron Regular Member
Ph.D., Arizona, 2005.
- Assistant Professor, Geology
Martin, Cynthia L. Regular Member
B.A., University of New Hampshire-
Durham, 1980; M.A., University of
Pennsylvania,1983; Ph.D., 1990.
- Associate Professor, Russian Language
and Literature
Martin, David A. Regular Member
B.S., Virginia Polytechnic Institute & State
University, 1972; M.S., 1975.
- Acting Director, Extension Service
Baltimore County
- Senior Agent, Extension Service
Baltimore County
- Senior Agent, Cooperative Extension
Service
Martin, L.John Regular Member
A.B., American University-Cairo, 1947;
M.A., University of Minnesota-Twin Cities,
1951; Ph.D., 1955.
- Professor Emeritus, College of
Journalism
Martin, Pino Regular Member
B. Eng., Boston University, 1994; M.S.,
University of Minnesota, 1995; Ph.D.,
University of Minnesota, 1999;
- Associate Professor, Engineering:
Aerospace Engineering
Martin-Beltran, Melinda Regular
Member
B.A., University of Michigan, 1997; M.Ed.,
University of Texas, Pan American, 1999;
Ph.D., Standford University, 2006
- Assistant Professor, Education:
Curriculum and Instruction
Martinez-Miranda, Luz Regular
Member
B.S., University of Puerto Rico-Rio
Piedras/San Juan, 1977; B.Mus., 1979;
M.S. ,1979; Ph.D., Massachusetts Institute
of Technology, 1985.
- Associate Professor, Chemical Physics
- Associate Professor, Engineering:
Materials Science and Engineering
- Affiliate Associate Professor,
Engineering: Bioengineering
Martins, Nuno M. L. C. Regular
Member
B.S./M.S. - Combined Bachelor's/Master's
Program, Electrical Engr. & Computer
Science, University of Lisbon, 5/97; Ph.D.,
Electrical & Computer Science,
Massachusetts Institute of Technology,
9/04
-Assistant Professor, Engineering:
Systems Engineering
-Assistant Professor, Engineering:
Electrical & Computer Engineering
Marx, George L. Regular Member
B.A., Yankton College, 1953; M.A., State
University of Iowa, 1958; Ph.D., 1959.
- Vice Chancellor for Academic Affairs,
University System of Maryland
- Professor Emeritus, Education:
Counseling and Personnel Services
Mason, Geraldine
Elizabeth Regular Member
B.S., University of Maryland-Eastern
Shore, 1973; M.S. .University of Maryland-
College Park, 1978.
- Senior Agent, Extension Service
Wicomico
- Senior Agent, Extension Service
Somerset
- Senior Agent, Cooperative Extension
Service
Mason, Glenn M. Regular Member
B.A., Harvard University, 1965; M.S.,
University of Chicago, 1967; Ph.D. ,1971.
- Professor Emeritus, Physics
- Senior Research Scientist, Physics
Mason, Sandra M. Regular Member
B.S., University of Maryland-Eastern
Shore, 1975; M.S., University of Maryland-
College Park, 1982.
- Senior Agent, Extension Service Anne
Arundel
- Senior Agent, Cooperative Extension
Service
Mason, Viola F. Regular Member
B.S., Maryland State College, 1967;
M.S. .University of Maryland-College Park,
1978.
- Senior Agent, Extension Service Prince
Georges
- Senior Agent, Cooperative Extension
Service
Mather, Ian H. Regular Member
B.Sc, University of Wales, 1966; Ph.D.,
1971.
- Professor, Biological Sciences
- Professor, Animal Sciences
Mather, John C. Regular Member
B.A., Swarthmore College, 1968; Ph.D.
UC Berkeley, 1974;
- Adjunct Professor, Physics
Matysiak, Silvina Regular Member
-Assistant Professor, Engineering:
Bioengineering
Mawhinney, Hanne B. Regular
Member
575
B.A., Simon Fraser University-Burnaby,
1975; M.A., University of Ottawa, 1989;
Ph.D., 1993.
- Associate Professor, Education: Policy
Studies
- Associate Professor, Education: Policy
and Leadership
Mayergoyz, Isaak Regular Member
E.E.Dipl., Polytechnical Institute-
Novocherkask, 1963; Kandidat, 1968;
Doctor, Institute for Cybemetics-Ukranian
Academy of Science, 1975.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Engineering: Electrical &
Computer Engineering
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Mayo, Marlene J. Regular Member
B.A., Wayne State University, 1954;
M.A., Columbia University, 1957; Ph.D.,
1961.
- Associate Professor, History
- Affiliate Associate Professor, History
- Affiliate Associate Professor, Women's
Studies
Mazzocchi, Paul H. Regular Member
B.Sc, Queens College, 1961 ; Ph.D.,
Fordham University, 1966.
- Professor Emeritus, Chemistry
McAdams, Katherine C. Regular
Member
B.A., University of North Carolina-Chapel
Hill, 1972; M.A., 1981; Ph.D., 1988.
- Associate Dean, Journalism
- Executive Director, College Park
Scholars
- Associate Professor, College Park
Scholars
McAvoy, Thomas J. Regular
Member
B.A., Brooklyn Polytechnic Institute, 1961;
M.A., Princeton University, 1963; Ph.D.,
1964.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emeritus, Engineering:
Chemical Engineering
- Professor Emeritus, Systems Research,
Institute for
McBride, Alison Adjunct Member
Ph.D., Imperial Cancer Research Fund
and Imperial College, London
- Adjunct Professor, Biological Sciences
McCaleb, Joseph L. Regular
Member
B.A., Abilene Christian University, 1969;
M.Ed. .University of Texas-Austin, 1973;
Ph.D., 1976.
- Associate Professor, Education:
Curriculum and Instruction
McCarty, Greg W. Special Member
B.S. Iowa State Univ. 1982; M.S. 1985;
Ph.D. 1989.
- DEFAULT, Enviromental Science and
Technology
McCluskey, F.P. Regular Member
B.S., Lafayette College, 1984;M.S.,
Lehigh University, 1986; Ph.D., 1991.
- Associate Professor, Engineering:
Mechanical Engineering
McConnell, Kenneth E. Regular
Member
B.A., University of Florida, 1964; M.A.,
1966; Ph.D., University of Maryland-
College Park, 1973.
- Professor, Agricultural and Resource
Economics
McCuen, Richard H. Regular
Member
B.S., Carnegie-Mellon University, 1967;
M.S., Georgia Institute of Technology,
1969; Ph.D., 1970.
- Professor, Engineering: Civil and
Environmental Engineering
McCune, Jr., Jeffrey Q. Regular
Member
B.S.S., Cornell College, 1999; M.A.,
University of Nebraska-Lincoln, 2001 ;
Ph.D., Northwestern University, 2006.
- Assistant Professor, Women's Studies
- Assistant Professor, American Studies
McDaniel, Stephen R. Regular
Member
B.S., Moorhead State University, 1985;
M.A., University of South Florida, 1991;
Ph.D., Florida State University, 1995.
- Associate Professor, Kinesiology
McDonough, William F. Regular
Member
B.A., University of Massachusetts-Boston,
1979; M.S., Sul Ross State University,
1983; Ph.D., Australian National
University-Canberra, 1988.
- Professor, Geology
- Affiliate Professor, Chemistry and
Biochemistry
McEwen, Abigail Regular Member
B.A., Brown University, 2002; M.A., New
York University, 2005; Ph.D., New York
University 2010
- Assistant Professor, Art History and
Archaeology
McEwen, Marylu K. Regular Member
B.S., Purdue University, 1968; M.S.,
Indiana University-Bloomington, 1970;
Ph.D. .Purdue University, 1973.
- Professor Emeritus, Education:
Counseling and Personnel Services
McGaugh, Stacy S. Regular Member
B.S., Massachusetts Institute of
Technology, 1985; Ph.D., University of
Michigan-Ann Arbor, 1992.
- Professor, Astronomy
McGinnis, James R. Regular
Member
B.S., University of Georgia, 1980; M.A.,
Teachers College, Columbia University,
1987; M.Ed., 1988; Ph.D., University of
Georgia, 1992.
- Professor, Education: Curriculum and
Instruction
McGinnis, Scott G. Regular Member
B.S. .University of the State of New York,
1980; M.A., Ohio State University-
Columbus, 1984; Ph.D., 1990.
- Research Associate, College of Arts and
Humanities
- Adjunct Assistant Professor, Asian and
East European Languages and Cultures
McGloin, Jean M. Regular Member
B.A., Bryn Mawr College, 1999; M.A.,
Rutgers University, 2001; PhD., Rutgers
University, 2004
- Associate Professor, Criminology and
Criminal Justice
McGuire, Martin C. Regular Member
B.S., U.S. Military Academy, 1955 B.A.,
Oxford University, 1958 Ph.D., Harvard
University, 1964.
- Professor Emeritus, Economics
Mclntire, Roger W. Regular Member
B.A., Northwestern University, 1958; M.A.,
Louisiana State University-Baton Rouge,
1960; Ph.D., 1962.
- Professor Emeritus, Psychology
Mcintosh, Maria S. Regular Member
B.S., University of lllinois-
Urbana/Champaign, 1974; M.S., 1976;
Ph.D., 1978.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Plant Science
Mcintosh, Wayne V. Regular
Member
B.A., University of South Carolina-
Columbia, 1973; M.A., Wichita State
University, 1974; Ph.D., Washington
University, 1981.
- Associate Dean, Government and
Politics
- Professor, Government and Politics
Mclver, Kevin Regular Member
Ph.D. University of Tennessee Health
Sciences Center
- Associate Professor, Biological
Sciences
McKelvey, Christopher Regular
Member
B.A., Brandeis University, 1996; Ph.D.,
Univ. of California, 2005.
- Assistant Professor, Economics
McKenna, Mary Catherine Adjunct
Member
B.A., University of Maryland-College Park,
1968; Ph.D., 1978.
- Associate Professor, Nutrition
McKenzie, Brian D. Regular Member
B.A., Indiana University, 1995; Ph.D.,
University of Michigan, 2004.
- Assistant Professor, Government and
Politics
McLaughlin, Margaret J. Regular
Member
B.A., University of Denver, 1968; M.A.,
University of Northern Colorado, 1971 ;
Ph.D., University of Virginia, 1977.
-Associate Dean, Education: Curriculum
and Instruction
- Professor, Education: Special Education
McLoone, Eugene P. Regular
Member
B.A., La Salle University, 1951; M.S.,
University of Denver, 1952;
Ph.D. .University of lllinois-
Urbana/Champaign, 1961.
- Professor Emeritus, Education: Policy
and Leadership
McReynolds, R. Timothy Adjunct
Member
B.M. Indiana University, M.M. University of
Michigan, D.M.A. University of Maryland
- Lecturer, Music
Meeker, Barbara F. Regular Member
B.A., University of Kansas, 1 961 ;
M.A., Stanford University, 1964; Ph.D.,
1966.
- Professor Emerita, Sociology
Mehta,Mira Adjunct Member
B.Sc, M.S. University,Baroda,lndia,1973;
M.S. .Cornell University.1976;
Ph.D. .Cornell University.1981
- Extension Associate, Nutrition
Meiners, Mark R. Regular Member
B.A., University of Wisconsin-Madison,
1969;M.A., Georgetown University, 1972;
Ph.D., 1978.
- Associate Director, Aging, Center on
- Associate Professor, Aging, Center on
Mellet, Antoine Regular Member
B.A. Ecole Normale Superieur de Lyon,
576
1999 Universite Toulouse III, 2003
- Associate Professor, Mathematics
Melngailis, John Regular Member
B.S., Carnegie-Mellon University, 1960;
M.S., 1962; Ph.D., 1965.
- Professor, Engineering: Electrical &
Computer Engineering
Melnick. Karin Regular Member
B.A. Reed College 1999, M.S. University
of Chicago 2000, Ph.D. University of
Chicago 2006
- Assistant Professor, Mathematics
Memon, Atif M. Regular Member
Ph.D., University of Pittsburgh, 2001
- Associate Professor, Computer Science
Mendoza, Enrique G. Regular
Member
B.A., Anahuac University, 1985; M.A.,
University of Western Ontario, 1986;
Ph.D., University of Western Ontario,
1989.
- Professor, Economics
Meng, Jianghong Regular Member
D.V.M., Sichuan University, 1983; M.S.,
University of California-Davis, 1989;
Ph.D., 1992.
- Professor, Food Science
Merck, John W., Jr. Adjunct Member
B.A., Oberlin College, 1977; Ph.D.,
University of Texas at Austin, 1 997.
- Lecturer, Geology
Merediz, Eyda Regular Member
B.A., University of Southern California-Los
Angeles, 1989; M.A., Princeton University,
1991; Princeton University, Ph.D., 1998.
- Director, Spanish and Portuguese
Languages and Literatures
- Associate Professor, Spanish and
Portuguese Languages and Literatures
Meritt, Donald W. Regular Member
B.S., St. Mary's College of Maryland,
1972; Ph.D., University of Maryland,
College Park, 1993
- Senior Agent, Marine-Estuarine-
Environmental Sciences
Messersmith, Donald Regular
Member
B.Ed., University of Toledo, 1951; M.S.,
University of Michigan-Ann Arbor,
1953;Ph.D., Virginia Polytechnic Institute,
1962.
- Professor Emeritus, Entomology
Meyer, Paul A. Regular Member
B.A., Johns Hopkins University, 1961;
M.A., Stanford University, 1963; Ph.D.,
Stanford University, 1966.
- Associate Professor Emeritus,
Economics
Micallef, Shirley Regular Member
B.S., University of Malta, 1 991 ; M.S.,
University of Malta, 1996; Ph.D.,
University of Massachusetts Boston,
2008
- Assistant Professor, Plant Science
Michael Brick Regular Member
B.S. Mathematics, University of Dayton
(1973); M.A. Statistics, American
University (1976); Ph.D. Statistics,
American University (1984)
- Research Professor, Survey
Methodology
Michael Elliott Regular Member
B.S., Mathematics, University of Chicago
(1985); M.S., Biostatistics, University of
Michigan (1997); Ph.D., Biostatistics,
University of Michigan (1999
- Associate Research Professor, Survey
Methodology
Michael Gurevitch Regular Member
B.A., Hebrew University of Jerusalem,
1953; M.A., University of Chicago, 1958;
Ph.D., Massachusetts Institute of
Technology, 1961.
- Professor, College of Journalism
Michael, Erica B. Adjunct Member
B.S., University of Michigan, 1992; M.S.,
The Pennsylvania State University, 1996;
Ph.D., The Pennsylvania State University,
1998.
- Assistant Research Scientist, Center for
Advanced Study of Language (CASL)
- Assistant Research Scientist, Second
Language Acquisition-Ph.D.
Michel, Sonya A. Regular Member
B.A., Barnard College, 1964; M.A., San
Francisco State University, 1975; Ph.D.,
Brown University, 1986.
- Professor, History
- Affiliate Professor, Women's Studies
Miele, David Regular Member
B.A., Columbia University, 1998; M.A.,
Columbia University, 2004; M.S.
Northwestern University, 2007; Ph.D.,
Northwestern University, 2009
- Assistant Professor, Education: Human
Development
Mignerey, Alice C. Regular Member
B.S., University of Rochester, 1971; M.S.,
1973; Ph.D., 1975.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Chemical Physics
- Professor, Chemistry
Miiller, Dianne M. Regular Member
B.A., University of Saskatchewan-
Saskatoon, 1965 M.S., Hood College,
1984.
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service
Montgomery
Milchberg, Howard M. Regular
Member
B.S., McMaster University-Hamilton, 1979;
Ph.D., Princeton University, 1985.
- Professor, Chemical Physics
- Professor, Physics
- Professor, Engineering: Electrical &
Computer Engineering
Milem, Jeffrey F. Regular Member
B.A., Michigan State University, 1979;
M.Ed., University of Vermont, 1981; Ph.D.,
University of California-Los Angeles,
1992.
- Associate Professor, Education: Policy
and Leadership
Miler, Chris Regular Member
B.A., College of William and Mary, 1996;
Ph.D., University of Michigan, 2003.
- Assistant Professor, Government and
Politics
Milke, James A. Regular Member
B.S. .University of Maryland-College Park,
1976; M.S., 1981; Ph.D., 1991.
- Chair, Engineering: Fire Protection
Engineering
- Professor, Engineering: Fire Protection
Engineering
Milkie, Melissa A. Regular Member
B.A., Indiana University-Bloomington,
1987;M.A., 1990; Ph.D., 1995.
- Professor, Sociology
- Affiliate Professor, Women's Studies
Miller, Arthur G. Regular Member
B.A., Colby College, 1964; M.A., Ecole du
Louvre, 1965; Ph.D., Harvard University,
1969.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
Miller, Gerald R. Regular Member
B.S., University of Wisconsin-
Madison, 1958; M.S., University of lllinois-
Urbana/Champaign, 1960; Ph.D., 1962.
- Professor Emeritus, Chemistry
Miller, Gregory Regular Member
B.Mus., Oberlin College, 1990.
- Associate Professor, Music
Miller, M. Coleman Regular Member
B.S., Hillsdale College, 1984; M.S.,
California Institute of Technology, 1986;
Ph.D., 1990.
- Professor, Astronomy
Miller, Mary R. Regular Member
B.A., University of Iowa, 1941;
M.A., University of Denver, 1959; Ph.D.,
Georgetown University, 1969.
- Professor Emerita, English Language
and Literature
Miller, Matthew J Regular Member
B.A., Judson College, IL, 1999; M.A.,
Loyola University Chicago, 2001 ; Ph.D.,
Loyola University Chicago, 2005.
-Assistant Professor, Education:
Counseling and Personnel Services
Miller, Raymond E. Regular Member
B.S., University of lllinois-
Urbana/Champaign,1950; M.S., 1955;
Ph.D., 1957.
- Professor Emeritus, Computer Science
Miller, Raymond J. Regular Member
B.S., University of Alberta-Edmonton,
1957; M.S., Washington State University,
1960; Ph.D., Purdue University, 1962.
- Professor, College of Agriculture and
Natural Resources
- Professor, Enviromental Science and
Technology
Miller, Ruth K. Regular Member
B.S., University of Massachusetts-
Amherst, 1961 M.S., University of
Maryland-College Park, 1965.
- Director, Extension Service Calvert
- Principal Agent, Extension Service
Calvert
- Principal Agent, Cooperative Extension
Service
Miller, Thomas H. Regular Member
B.S., University of Maryland-College Park,
1980; M.S., 1985.
- Senior Agent, CES - Western Maryland
Resource and Education Center
- Senior Agent, Cooperative Extension
Service
- Senior Agent, CES - Wye Resource and
Education Center
Miller, Thomas J. Regular Member
B.Sc, University of York, 1981; M.Sc,
North Carolina State University, 1984;
Ph.D., 1990.
- Professor, Marine-Estuarine-
Environmental Sciences
Miller-Hooks, Elise Regular Member
B.S.C.E, Lafayette College, 1992; M.S.,
University of Texas, Austin, 1 994; Ph.D.
University of Texas, Austin, 1 997.
-Associate Professor, Engineering: Civil
and Environmental Engineering
Millson, John J. Regular Member
B.S., Massachusetts Institute of
Technology, 1968; Ph.D., University of
577
California-Berkeley, 1973.
- Professor, Mathematics
Milner, Stuart D. Adjunct Member
- Research Professor, Engineering: Civil
and Environmental Engineering
Minker, Jack Regular Member
B.A., City University of New York-Brooklyn
College, 1949; M.S. .University of
Wisconsin-Madison, 1950; Ph.D.,
University of Pennsylvania, 1959
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emeritus, Computer Science
- Professor Emeritus, Advanced Computer
Studies, Institute for
Mishra, Abhay N. Regular Member
B.Technology, K.R.E.C, 1993; M.B.A.,
X.L.R.I., 1996; Ph.D., University of Texas-
Austin, 2002.
- Assistant Professor, Business and
Management
Mislevy, Robert J. Regular Member
B.S., M.S., Northern Illinois University,
1972, 1974; Ph.D., University of Chicago,
1981;
- Professor, Education: Measurement,
Statistics and Evaluation
- Affiliate Professor, Second Language
Acquisition-Ph.D.
Misner, Charles W. Regular Member
B.S., University of Notre Dame, 1952;
M.A., Princeton University, 1954; Ph.D.,
1957.
- Professor Emeritus, Physics
- Senior Research Scientist, Physics
Mitchelmore, Carys L. Regular
Member
B.S., University of Portsmouth, U.K.,
1992; M.S., University of Portsmouth,
U.K., 1993; Ph.D., University of
Birmingham, U.K., 1997
- Associate Professor, Marine-Estuarine-
Environmental Sciences
Mithas, Sunil Kumar Regular
Member
B.E., University of Roorkee, 1990;
P.G.D.M., Management Development
Institute (India), 1997.
- Lecturer, Business and Management
Mitter, Charles Regular Member
B.S., Stanford University, 1970;
Ph.D. .State University of New York-Stony
Brook, 1 977.
- Chair, Entomology
- Professor, Biological Sciences
- Professor, Entomology
Mityga, Henry G. Adjunct Member
B.S., Cornell University, 1966; M.S.,
Purdue University, 1969; Ph.D., University
of Maryland-College Park, 1976.
- Lecturer, Horticulture and Landscape
Architecture
- Lecturer, Institute of Applied Agriculture
Miyoshi, Takemasa Regular Member
Ph.D., University of Maryland, 2005
- Assistant Professor, Atmospheric and
Oceanic Science
Modarres, Mohammad Regular
Member
B.S., Tehran Polytechnic Institute, 1974;
M.S. .Massachusetts Institute of
Technology, 1976; Ph.D., 1979.
GCEN Academic Advisor for Nuclear
Engineering
GCEN Academic Advisor for Reliability
Engineering
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Engineering: Professional
Master of Engineering
- Professor, Engineering: Reliability
Engineering
- Affiliate Professor, Engineering:
Mechanical Engineering
Moe, Wendy Regular Member
B.S., 1992, M.S., 1999, University of
Pennsylvania; M.B.A., Georgetown
University, 1996; Ph.D., University of
Pennsylvania, 2000.
- Assistant Professor, Business and
Management
Moeller, Susan Regular Member
B.A., Yale University, 1979; A.M., Harvard
University, 1985; Ph.D., 1987.
- Professor, Journalism
Moghadam, Linda L. Adjunct
Member
B.A., University of Maryland-College Park,
1976; M.A., 1981; Ph.D., 1989.
- Director, Sociology
- Lecturer, Sociology
Mohapatra, Rabindra N. Regular
Member
B.Sc.Utkal University, 1964; M.Sc,
University of Delhi, 1966; Ph.D., University
of Rochester, 1969.
- Distinguished Scholar-Teacher, Physics
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor, Physics
Mohr, Jonathan Regular Member
B.A., University of California-Santa Cruz,
1988; M.S., California State University-
Hayward, 1995; Ph.D., University of
Maryland-College Park, 2001.
- Assistant Professor, Psychology
Mokhtari, Manouchehr Regular
Member
B.S., University of Tehran, 1977; M.A.,
University of Houston, 1984; Ph.D., 1986.
- Associate Professor, Family Science
Moller, Dan Regular Member
B.A., Vassar College, 1998; B.Phil.,
Oxford University, 2000; Ph.D., Princeton
University, 2005.
- Assistant Professor, Philosophy
Momen, Bahram Regular Member
B.S., University of Mazandaran (Iran),
1978; M.S., University of California-Davis,
1988; Ph.D., University of California-
Berkeley, 1993.
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Associate Professor, Enviromental
Science and Technology
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Montas, Hubert J. Regular Member
B.S., McGill University-Montreal, 1988;
M.S., 1990; Ph.D., Purdue University,
1996.
- Associate Professor, Engineering:
Bioengineering
Monte-Sano, Chauncey Regular
Member
B.A., Yale University, 1994; M.A., Stanford
University, 2001; Ph.D., Stanford
University, 2006
- Assistant Professor, Education:
Curriculum and Instruction
Montesi, Laurent G. J. Regular
Member
B.S., Pierre et Marie Curie University,
1994; M.S., Paris-Sud University, 1996;
Ph.D., Massachusetts Institute of
Technology, 2002.
- Assistant Professor, Geology
- Affiliate Assistant Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Montgomery, Janet Adjunct Member
B.M.E., M.M.E., Wichita State University;
Ph.D., University of Wisconsin-Madison
- Professor of Practice, Music
Montgomery, William L. Regular
Member
B.Mus., Cornell College, 1953; M.Mus.,
Catholic University of America, 1957;
Ph.D., 1975.
- Professor, Music
Moon, Sherril M. Regular Member
B.A., Randolph-Macon Woman's College,
1974;M.Ed., James Madison University,
1976; Ed.D., University of Virginia, 1983.
- Professor, Education: Special Education
Moore, John H. Regular Member
B.S., Carnegie Institute of Technology,
1963; M.S., Johns Hopkins
University,1965; Ph.D., 1967.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor Emeritus, Agricultural and
Resource Economics
Morgan II, Raymond P. Regular
Member
B.S., Frostburg State University, 1966;
Ph.D., University of Maryland, 1971.
- Professor, Marine-Estuarine-
Environmental Sciences
Morici, Peter G. Regular Member
B.S., State University of New York-
College at Pittsburgh, 1970; M.A., State
University of New York, 1971 ; Ph.D., State
University of New York-Albany, 1974.
- Professor, Business and Management
Morreau, Michael P. Regular
Member
M.B.A., University of Amsterdam-
Netherlands, 1983; Ph.D., 1987.
- Associate Professor, Philosophy
Morris, Christopher Regular
Member
A.B., Vassar College, 1 971 ; M.A.,
University of Toronto, 1974; Ph.D.,
University of Toronto, 1 977.
-Acting Chair, Philosophy
- Professor, Philosophy
Morris, Irwin L. Regular Member
B.A., Furman University, 1989; M.A.,
University of North Carolina-Chapel Hill,
1991; Ph.D., 1994.
- Professor, Government and Politics
Morris, Susan K. Regular Member
B.S., Kansas State University, 1974; M.S.,
1977.
- Senior Agent, Extension Service
Montgomery
- Senior Agent, Cooperative Extension
Service
Morse, Brandon Regular Member
B.F.A., University of Wisconsin Stevens
Point, 1997; M.F.A., Ohio State University
,2000
- Associate Professor, Art Studio
- DEFAULT, Art Studio
Mortensen, Karoline Regular
Member
B.S., Florida State University, 1997; M.A.
University of Michigan, 2003; Ph.D.,
University of Michigan, 2006.
578
- Assistant Professor, Public Health:
Master of Health Administration
- Assistant Professor, Public Health:
Health Services Ph.D.
Moser, Thomas Colborn,
Jr. Regular Member
B.A., Harvard University, 1973 M.A., Yale
University, 1979; Ph.D., Stanford
University, 1987.
- Director, English Language and
Literature
- Associate Professor, English Language
and Literature
Moses, Claire G. Regular Member
A.B., Smith College, 1963; M.Phil., George
Washington University, 1972; Ph.D.,
1978.
- Professor, Women's Studies
- Affiliate Professor, History
Mosleh, AM Regular Member
B.S., University of Technology-Tehran,
1975; M.S., University of California-Los
Angeles, 1978; Ph.D., 1981.
- Associate Chair, Engineering: Reliability
Engineering
- Professor, Engineering: Reliability
Engineering
- Professor, Engineering: Professional
Master of Engineering
- Affiliate Professor, Engineering:
Mechanical Engineering
Moss, Alfred A., Jr. Regular Member
B.A., Lake Forest College, 1965; M.Div.,
Episcopal Divinity School-Cambridge,
1968; M.A., University of Chicago,
1972;Ph.D., 1977.
- Associate Professor, History
- Affiliate Associate Professor, American
Studies
Moss, Bernard Adjunct Member
B.A., New York University, 1957; Ph.D.,
Massachusetts Institute of Technology,
1966.
- Adjunct Professor, Biological Sciences
Moss, Cynthia F. Regular Member
B.S., University of Massachusetts-
Amherst, 1979; Ph.D., Brown University,
1985.
- Professor, Biological Sciences
- Professor, Psychology
- Professor, Engineering: Systems
Engineering
- Professor, Neuroscience and Cognitive
Science
- Affiliate Professor, Biological Sciences
Moss, Lawrence K. Regular Member
B.A., University of California-Los Angeles,
1949; M.A., University of Rochester, 1950;
Ph.D., University of Southern California-
Los Angeles, 1957.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Music
Mosser, David M. Regular Member
B.S., University of Bridgeport, 1974 M.S.,
Univeristy of Bridgeport, 1975 Ph.D.,
North Carolina State, 1983
- Professor, Biological Sciences
Mossman, Carol A. Regular Member
B.A., University of New Mexico-
Albuquerque, 1975; M.A., Rice University,
1979; Ph.D., 1982.
- Professor, Modern French Studies
- Professor, French Language and
Literature
- Affiliate Professor, Women's Studies
Mote, Clayton D., Jr. Regular
Member
B.S., University of California-Berkeley,
1959; M.S., 1960; Ph.D., 1963.
- President Emeritus, University of
Maryland
- Professor, Engineering: Mechanical
Engineering
Moult, John Regular Member
B.S., University of London, 1965; D.Phil.,
University of Oxford, 1 970.
- Adjunct Professor, Biological Sciences
Mount, David M. Regular Member
B.S., Purdue University, 1977; Ph.D.,
1983.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Computer Science
- Professor, Advanced Computer Studies,
Institute for
Mount, Stephen M. Regular Member
B.A., Rice University, 1978; Ph.D., Yale
University, 1983.
- Associate Professor, Biological
Sciences
Mowrer, Frederick W. Regular
Member
B.S., Illinois Institute of Technology, 1976;
M.S. .University of California-Berkeley,
1981; Ph.D., 1987.
- Professor Emeritus, Engineering: Fire
Protection Engineering
Moyer, Alene Regular Member
B.S.-PT, University of Texas-Austin, 1983;
M.A., 1989; Ph.D., 1995.
- Associate Professor, German Literature
and Language
- Affiliate Associate Professor, Second
Language Acquisition-Ph.D.
Mulbry, Walter Special Member
B.S. Duke Univ. 1979; M.S. Univ. of Utah,
1982; Ph.D. Univ. of Maryland, 1988.
- DEFAULT, Enviromental Science and
Technology
Mullin, Amy Regular Member
B.A. University of California, Santa Cruz,
1985 Ph.D. University of Colorado,
Boulder, 1991
- Professor, Chemistry
- Professor, Chemical Physics
Muncy, Robyn L. Regular Member
B.A., Lindenwood College, 1977; M.A.,
University of Idaho, 1980;
Ph.D. .Northwestern University, 1987.
- Associate Professor, History
- Affiliate Associate Professor, American
Studies
- Affiliate Associate Professor, Women's
Studies
Mundy, Lee G. Regular Member
B.S., California Institute of Technology,
1977; Ph.D., University of Texas-Austin,
1984.
- Professor, Astronomy
Murdock, Katherine Regular
Member
B.M., Boston University; graduate studies,
Yale School of Music
- Associate Professor, Music
Murnane, Kevin Regular Member
B.A., Carleton College, 1981; M.A.,
Indiana University-Bloomington, 1986;
Ph.D., 1990.
- Associate Professor, Psychology
Muro, Sylvia Regular Member
- Assistant Professor, Engineering:
Bioengineering
- Affiliate Professor, Biological Sciences
Murphy, Thomas E. Regular
Member
B.A., Rice University, 1994; B.S., 1994;
M.S., Massachusetts Institute of
Technology, 1997; Ph.D., 2001
-Associate Professor, Engineering:
Electrical & Computer Engineering
Murray, Laura Regular Member
B.S. University of West Florida-Pensacola,
1971; MST, 1973; Ph.D.,College of
William & Mary, 1983.
- Coordinator, Horn Point Envir. Lab
- Research Professor, Horn Point Envir.
Lab
- Research Associate Professor, Marine-
Estuarine-Environmental Sciences
Murrell, Peter Regular Member
B.Sc, London School of Economics,
1971; M.Sc, 1972;Ph.D., University of
Pennsylvania, 1977.
- Chair, Economics
- Professor, Economics
Murtugudde, Raghuram Regular
Member
B.S., Indian Institute of Technology; M.S.,
University of Texas, 1987; Ph.D.,
Columbia University, 1994.
- Professor, Atmospheric and Oceanic
Science
Murtugudde, Ragu Regular Member
B.S., Indian Institute of Technology, 1983;
M.S., University of Texas-Arlington, 1986;
Ph.D., Columbia University, 1994.
- Affiliate Professor, Geology
Mushotsky, Richard Regular
Member
B.S., M.I.T., 1968; M.S., University of
California, San Diego, 1971 ; Ph.D.,
University of California, San Diego, 1 976.
- Professor, Astronomy
Musser, Wesley N. Regular Member
B.S. .University of Nebraska-Lincoln, 1967;
M.S., 1968; Ph.D., University of California-
Berkeley, 1974.
- Professor, Agricultural and Resource
Economics
Myers, David N. Regular Member
B.S., Clemson University, 1978; M.L.A.,
University of Georgia, 1984; Ph.D., 1994.
- Associate Professor, Landscape
Architecture
- Associate Professor, Plant Science
- Assistant Professor, Career Center
Myers, Ronald David Regular
Member
B.S.-RT, University of Maryland-College
Park, 1983; M.S., 1996.
- Agent, Extension Service Anne Arundel
- Agent, Cooperative Extension Service
Myricks, Noel Regular Member
B.A., San Francisco State University,
1965; M.S., 1967; J. D., Howard
University, 1970; Ed.D., American
University, 1974.
- Associate Professor Emeritus, Family
Science
Nagaraj, V.T. Regular Member
B.E., University of Mysore; M.E., Indian
Institute of Science; Ph.D., Loughborough
University of Technology
- Research Scientist, Engineering:
Aerospace Engineering
Naharro-Calderon, Jose
M. Regular Member
B.A., Allegheny College, 1974;
M.A., University of Pennsylvania, 1977;
Ph.D., 1985.
579
- Associate Professor, Spanish and
Portuguese Languages and Literatures
- Associate Professor, Career Center
Nakajima, Kazuo Regular Member
B.S., Osaka University, 1973; M.S., 1975;
Ph.D., Northwestern University, 1979.
- Professor, Engineering: Electrical &
Computer Engineering
Nakamura, Kiminori Regular
Member
B.A., Sophia University, 2001 ; M.A.,
University of California, Irvine, 2005;
Ph.D., Carnegie Mellon University, 2010.
- Associate Professor, Criminology and
Criminal Justice
Nan, Xiaoli Regular Member
B.A., Beijing University, China, 2000;
M.A., University of Minnesota-Twin Cities,
2003; Ph.D., University of Minnesota-Twin
Cities, 2005.
- Assistant Professor, Communication
Naomi Feldman Regular Member
B.A., University of Chicago, 2003; Ph.D.,
Brown University, 2011
- Assistant Professor, Linguistics
Narayan, Prakash Regular Member
B.E., Indian Institute of Technology-
Madras, 1976; M.S., Washington
University, 1978; Ph.D., 1981.
- Professor, Systems Research, Institute
for
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Engineering: Systems
Engineering
- Professor, Engineering: Electrical &
Computer Engineering
Natarajan, Savithiry Special Member
B.S., University of Madras, India, 1984;
M.S., University of Madras, 1986; Ph.D.
University of Madras, India, 1990.
- Adjunct Associate Professor, Plant
Science
Nathaniel Schenker Regular
Member
A.B. Statistics, Princeton University
(1979); S.M. Statistics, University of
Chicago (1983); Ph.D. Statistics,
University of Chicago (1985)
- Adjunct Professor, Survey Methodology
Nathans, Heather Regular Member
B.A., Dartmouth College, 1990; Ph.D.,
Tufts University, 1999.
- Professor, Theatre
Nau, Dana S. Regular Member
B.S., University of Missouri-Rolla, 1974;
A.M., Duke University, 1976; Ph.D., 1979.
- Professor, Systems Research, Institute
for
- Professor, Computer Science
- Professor, Engineering: Systems
Engineering
- Affiliate Professor, Engineering:
Mechanical Engineering
Needelman, Brian A. Regular
Member
B.I.S., School for International Training,
1993; M.S., University of Illinois, 1997;
Ph.D., Pennsylvania State University,
2001 .
- Associate Professor, Enviromental
Science and Technology
Neel, Maile Regular Member
B.A., Humboldt State University, 1985;
M.S., University of California Santa
Barbara, 1994; Ph.D. University of
California Riverside, 2000
- Associate Professor, Plant Science
- Associate Professor, Entomology
- Associate Professor, Biological
Sciences
Nelson, Daniel Regular Member
B.S. University of California, Irvine, 1993;
Ph.D. University of Georgia, Athens, 1999.
Dr. Nelson's research focuses on several
proteins derived from bacteriophage that
possess an inherent antimicrobial
potential against both human and animal
pathogens. Research areas include
protein engineering, catalytic
mechanisms, biophysics, molecular
evolution, and host-pathogen biology.
- Assistant Professor, Veterinary Medical
Sciences
- Affiliate Professor, Biological Sciences
Nelson, David M. Regular Member
Ph.D. University of Illinois, 2005
- Assistant Professor, Marine-Estuarine-
Environmental Sciences
Nelson, Deb Regular Member
B.S., Northern Illinois; J.D., DePaul
University College of Law.
- Visiting Professor, Journalism
Nelson, Deborah D. Adjunct Member
B.S. in Journalism, Howard University,
1986; M.Ed, in School Psychology,
Howard University, 1990; Ph.D. in School
Psychology Program, University of
Maryland, 2003.
- Research Associate, Education:
Counseling and Personnel Services
Nelson, Judd Regular Member
B.S., University of Wisconsin-Madison,
1969;M.S., 1972; Ph.D., 1974.
- Associate Professor, Entomology
Nelson, T. Milton Regular Member
B.S., Iowa State University, 1951; M.S.,
1957.
- Specialist, Distance Education and
Outreach to the Community
- Specialist, Cooperative Extension
Service
Nemes, Graciela P. Regular Member
B.A., Trinity College-Vermont, 1942 M.A.,
University of Maryland-College Park,
1949; Ph.D., 1952.
- Professor Emerita, Spanish and
Portuguese Languages and Literatures
Neri, Umberto Regular Member
B.S., University of Chicago, 1 961 ;
M.S., 1962; Ph.D., 1966.
- Professor Emeritus, Mathematics
Nerlove, Marc L. Regular Member
B.A., University of Chicago, 1952; M.A.,
Johns Hopkins University, 1955; Ph.D.,
1956.
- Professor, Agricultural and Resource
Economics
Nettles, Saundra M. Regular
Member
B.A., Howard University, 1967; M.S.,
University of Illinois-Urbana/Champaign,
1968; M.S., Howard University,
1974;Ph.D., 1976.
- Associate Professor, College of Arts and
Humanities
Neubert, Debra Ann Regular
Member
B.S., University of Wisconsin-Madison,
1976; M.Ed., University of Maryland-
College Park, 1981; Ph.D., 1985.
- Professor, Education: Special Education
Neuman, M. Delia Regular Member
A.B., Chestnut Hill College, 1966; A.M.,
University of Michigan-Ann Arbor, 1972;
Ph.D., Ohio State University-Columbus,
1986.
- Associate Professor Emerita, Library
Science
- Affiliate Associate Professor, Education:
Curriculum and Instruction
Neustadtl, Alan Regular Member
B.A., Bates College, 1979; M.A.,
University of Massachusetts-Amherst,
1982; Ph.D., 1987.
- Associate Professor, Sociology
Newcomb, Robert W. Regular
Member
B.S., Purdue University, 1955;M.S.,
Stanford University, 1957; Ph.D.,
University of California-Berkeley, 1960.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Engineering: Electrical &
Computer Engineering
- Professor, Neuroscience and Cognitive
Science
Newell, Roger I.E. Regular Member
B.S., Queen Mary College-London, 1973;
Ph.D., University of London, 1978.
- Professor, Marine-Estuarine-
Environmental Sciences
Newhagen, John E. Regular
Member
B.A., University of Colorado-Boulder, 1976;
M.A., 1979; M.A., Stanford University,
1989; Ph.D., 1990.
- Associate Professor, Journalism
Newman, Rochelle Regular Member
B.S., Northwestern University, 1991; M.A.,
State University of New York at Buffalo,
1995; Ph.D., State University of New York
at Buffalo, 1997.
- Associate Professor, Hearing and
Speech Sciences
- Associate Professor, Neuroscience and
Cognitive Science
- Assistant Professor, Clinical Audiology
- Affiliate Associate Professor, Second
Language Acquisition-Ph.D.
Ng, Timothy J. Regular Member
B.S., University of California-Berkeley,
1969; M.S., Purdue University, 1972;
Ph.D., 1976.
- Associate Vice President, Research &
Economic Development
- Professor, Horticulture and Landscape
Architecture
- Professor, Research & Economic
Development
- Professor Emeritus, Plant Science
Nickels, William G. Regular Member
B.S.B.A., Ohio State University-Columbus,
1962; M.B.A., Case Western Reserve
University, 1966; Ph.D., Ohio State
University-Columbus, 1969.
- Associate Professor Emeritus, Business
and Management
Nigam, Sumant Regular Member
M.S. .Indian Institute of Technology-
Kanpur, 1978; Ph.D., Princeton University,
1983
- Professor, Atmospheric and Oceanic
Science
- Professor, Chemical Physics
Nochetto, Ricardo H. Regular
Member
Licenciado, University of Rosario-
Argentina, 1976; Ingeniero Electricista,
1979; Ph.D., University of Buenos Aires,
1983.
- Professor, Mathematics
580
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Nola, Dennis R. Regular Member
B.S., Pennsylvania State University, 1979
- Lecturer, Plant Science
- Lecturer, Landscape Architecture
Noonan, Peter Regular Member
B.S., University of Maryland 1988; M.Arch,
University of Maryland 1992
- Professor of Practice, Architecture
Norman, Howard Regular Member
B.A., Western Michigan University, 1972;
M.A., Indiana University-Bloomington,
1976.
- Professor, English Language and
Literature
- Professor, Creative Writing
Norman, Kent L. Regular Member
B.A., Southern Methodist University, 1969;
M.A., University of Iowa, 1971; Ph.D.,
1973.
- Associate Professor, Advanced
Computer Studies, Institute for
- Associate Professor, Psychology
- Associate Professor, Neuroscience and
Cognitive Science
North, Connie Regular Member
B.A., Stanford University, 1998; M.A.,
University of Wisconsin-Madison, 2004;
Ph.D., University of Wisconsin-Madison,
2007
- Affiliate Assistant Professor, Women's
Studies
North, Elizabeth W. Regular Member
B.A., Swarthmore College, 1991; M.A.,
Johns Hopkins University, 1996; Ph.D.
University of Maryland College Park,
2001
- Assistant Professor, Marine-Estuarine-
Environmental Sciences
Nossal, Ralph J. Adjunct Member
B.S., Cornell University, 1959; M.S.,
University of Michigan-Ann Arbor,
1961;Ph.D., University of Michigan, 1963.
- Adjunct Professor, Chemical Physics
Novikov, Sergey Regular Member
Diploma, Moscow State University, 1960;
Ph.D.,Steklov Institute of Mathematics,
1964; Sc.D., 1965.
- Distinguished University Professor,
Institute for Physical Sciences and
Technology (IPST)
- Distinguished University Professor,
Mathematics
Nunes, Zita Regular Member
B.A., Brown University, 1983;
M.A., University of California-Berkeley,
1986; Ph.D., 1994.
- Director, Comparative Literature
- Professor, Comparative Literature
- Associate Professor, English Language
and Literature
- Affiliate Associate Professor, Women's
Studies
- Affiliate Associate Professor, American
Studies
Nuss, Donald Regular Member
B.A., Edinboro State College, 1969; Ph.D.
Ph.D., University of New Hampshire,
1973.
- Affiliate Professor, Biological Sciences
O'Brien, Karen Mary Regular
Member
B.S., Loyola University of Chicago, 1983;
M.A., University of Missouri-Kansas City,
1988; Ph.D., Loyola University of Chicago,
1993.
- Professor, Psychology
- Affiliate Associate Professor, Women's
Studies
O'Brochta, David A. Regular
Member
B.S., University of Kansas, 1977; Ph.D.,
University of California-Irvine, 1985
- Affiliate Professor, Biological Sciences
O'Connell, Donald W. Regular
Member
B.A., Columbia University, 1937; M.A.,
Columbia University, 1938; Ph.D.,
Columbia University, 1953.
- Professor Emeritus, Economics
O'Flahavan, John F. Regular
Member
CV: B.A., University of Colorado-Boulder,
1 981 ; M.A., University of Colorado-
Boulder, 1982; Ph.D., University of Illinois,
1989.
- Associate Professor, Education:
Curriculum and Instruction
O'Grady, Kevin E. Regular Member
B.A., Washington & Lee University,
1972;M.S., Old Dominion University,
1976; Ph.D., University of Connecticut-
Storrs,1980.
- Associate Professor, Psychology
O'Haver, Thomas C. Regular
Member
B.S., Spring Hill College, 1963; D.Engin.,
University of Florida, 1968.
- Professor Emeritus, Chemistry
O'Leary, Dianne P. Regular Member
B.S., Purdue University, 1972; Ph.D.,
Stanford University, 1976.
- Professor, Advanced Computer Studies,
Institute for
- Professor, Computer Science
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Affiliate Professor, Engineering:
Electrical & Computer Engineering
O'Meara, Kerry Ann Regular
Member
B.A., Loyola College Baltimore, 1993; M.
Ed., The Ohio State University, 1995;
Ph.D., University of Maryland, 2000
- Associate Professor, Higher Education
and International Education
- Affiliate Associate Professor, Women's
Studies
O'Neil, Judith M. Regular Member
B.S., Boston College, 1983; M.S., State
University of New York at Stony Brook,
1987; Ph.D., University of Maryland,
College Park, 1995
- Research Assistant Professor, Marine-
Estuarine-Environmental Sciences
O'Shea, Patrick Gerard Regular
Member
B.S., National University of Ireland-
Dublin, 1979; M.S., University of Maryland-
College Park, 1982; Ph.D., 1986.
- Chair, Engineering: Electrical &
Computer Engineering
- Professor, Engineering: Electrical &
Computer Engineering
Oard, Douglas William Regular
Member
M.EIect.E., Rice University, 1979;B.A.,
1979; Ph.D., University of Maryland-
College Park, 1996.
- Professor, Information Management
- Professor, Advanced Computer Studies,
Institute for
- Professor, Library Science
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Information Studies
- Affiliate Professor, Computer Science
Oard, Douglas William Regular
Member
Ph.D., UMCP, 1996 M.E.E., Rice
University, 1979 B.A., Rice University,
1979
- Professor, Human-Computer Interaction
Oates, Wallace Regular Member
M.A., Stanford University, 1959; Ph.D.,
1965.
- Distinguished University Professor,
Economics
Oehrlein, Gottlieb Regular Member
B.S., Wurzburg University, 1976; Ph.D.,
SUNY-Albany, 1981.
- Professor, Engineering: Materials
Science and Engineering
- Affiliate Professor, Physics
Ofulue, Nneka Ifeoma Regular
Member
B.A., University of Virginia, 1995; M.A.,
University of Georgia, 1998; Ph.D.,
University of Georgia, 2005.
- Assistant Professor, Communication
Ohadi, Michael M. Regular Member
B.S., Tehran University-Iran, 1977; M.S.,
Southern Illinois University-Carbondale,
1980; M.Ed., Northeastern University,
1982; Ph.D., University of Minnesota-Twin
Cities, 1986.
- Professor, Engineering: Mechanical
Engineering
Okoudjou, Kasso A. Regular
Member
Maitrise, Universite Nationale du Benin,
1996; M.S., Georgia Institute of
Technology, 2003; Ph.D., Georgia
Institute of Technology, 2003.
- Associate Professor, Mathematics
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Oliveira, Marcio Regular Member
B.S.P.E., Federal University of Rio
Grande do Sul, Porto Alegre-RS, 1953;
M.Ed., University of Campinas State,
Campinas -SP, 1996; Ph.D., Federal
University of Rio Grande do Sul, Porto
Alegre-RS, 2003
- Associate Chair, Kinesiology
- Research Assistant Professor,
Kinesiology
Olson, Alison G. Regular Member
B.A., University of California-Berkeley,
1952; M.A., 1953; D.Phil.,Oxford
University, 1956.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor Emerita, History
Olson, Charles E. Regular Member
B.A., University of Wisconsin-Madison,
1964; M.S., University of Wisconsin-
Madison, 1966; Ph.D., University of
Wisconsin-Madison, 1968.
- Lecturer, Business and Management
Olson, Keith W. Regular Member
B.A., State University of New York-Albany,
1957; M.A., University of Wisconsin-
Madison, 1959; Ph.D., 1964.
- Professor Emeritus, History
Olson, Lars J. Regular Member
B.A., Eckerd College, 1981;M.A., Cornell
University, 1985; Ph.D., 1988.
- Chair, Agricultural and Resource
Economics
581
- Professor, Agricultural and Resource
Economics
Olver, Frank W.J. Regular Member
B.Sc, University of London, 1 945; M.Sc,
1948; D.Sc, 1961.
- Professor Emeritus, Mathematics
Ondov, John M. Regular Member
B.S., Muhlenberg College, 1970;
Ph.D. .University of Maryland-College
Park, 1974.
- Professor, Chemistry
Ontiveros, Randy Regular Member
B.A., Biola University, 1997; M.A.
University of California, Irvine, 2001 ; PhD.,
2006.
- Professor, Comparative Literature
- Assistant Professor, English Language
and Literature
- Affiliate Assistant Professor, Women's
Studies
Oppenheimer, Joe A. Regular
Member
B.A., Cornell University, 1963; M.A.,
University of Michigan-Ann Arbor, 1964;
Ph.D., Princeton University, 1971.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emeritus, Government and
Politics
Orban, John P. Regular Member
B.S., University of Adelaide, 1980;
Ph.D. .Australian National University-
Canberra, 1985; Ph.D., 1985.
- Professor, Biochemistry
- Affiliate Professor, Biological Sciences
Orlando, Edward F. Regular Member
B.S., University of Florida, 1987; M.S.,
1997; Ph.D., 2001.
- Assistant Professor, Animal Sciences
Orlando, Valerie Regular Member
Ph.D., Brown University, 1996
- Professor, French Language and
Literature
- Professor, Modern French Studies
- Affiliate Associate Professor, Women's
Studies
Orloff, Jon H. Regular Member
B.S., Massachusetts Institute of
Technology,1964; Ph.D., Oregon
Graduate Institute, 1977.
- Professor Emeritus, Engineering:
Electrical & Computer Engineering
Oruc, Yavuz A. Regular Member
B.S., Middle East Technology University-
Ankara, Turkey, 1976; M.S. .University of
Wales-Cardiff, 1978; Ph.D., Syracuse
University, 1983.
- Professor, Engineering: Electrical &
Computer Engineering
Osborn, John E. Regular Member
B.S., University of Minnesota-Twin Cities,
1958; M.S., 1963;Ph.D., 1965.
- Professor Emeritus, Mathematics
Oster, Rose-Marie G. Regular
Member
M.A., Stockholm University, 1956; D.Phil.,
Kiel University, 1958.
- Chair, German Literature and Language
- Professor, German Literature and
Language
- Affiliate Professor, Women's Studies
Ostriker, Eve C. Regular Member
B.A., Harvard University, 1987;
M.A., University of California-Berkeley,
1990; Ph.D., 1993.
- Professor, Astronomy
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Ostroff , Cheri Regular Member
B.A., University of Texas, 1982; M.A.,
Michigan State University, 1985; Ph.D.,
Michigan State University, 1987
- Professor, Psychology
Otani, Akira Adjunct Member
B.A., Sophia University-Tokyo,1978; M.A.,
West Virginia University, 1979; Ed.D.,
1985.
- Staff Psychologist, Counseling Center
Ott, Edward Regular Member
B.S., The Cooper Union, 1963; M.S.,
Polytechnic Institute of Brooklyn, 1965;
Ph.D., 1967.
- Distinguished University Professor,
Engineering: Electrical & Computer
Engineering
- Distinguished University Professor,
Applied Mathematics & Statistics, and
Scientific Computation
- Distinguished University Professor,
Physics
- Distinguished University Professor,
Chemical Physics
Ottinger, Mary A. Regular Member
B.S., University of Maryland-College Park,
1972; M.S., 1974; Ph.D., 1977.
- Professor, Biological Sciences
- Professor, Animal Sciences
- Professor, Neuroscience and Cognitive
Science
- Affiliate Professor, Biological Sciences
Ouyang, Min Regular Member
B.S., Peking University, 1995; M.S.,
Peking University, 1996; A.M., Harvard
University, 1999; Ph.D., Harvard
University, 2001 ;
- Assistant Professor, Physics
- Assistant Professor, Chemical Physics
- Affiliate Associate Professor,
Engineering: Materials Science and
Engineering
Oxford, Rebecca L. Regular Member
CV: B.A., Vanderbilt University, 1968;
M.A., Yale University, 1972; Ed.M.,
Boston University, 1973; Ph.D., University
of North Carolina, 1978.
- Affiliate Professor, Second Language
Acquisition-Ph.D.
Ozbay, Erkut Y. Regular Member
B.A., Bogazici University, 1998; M.A.,
Bogazici University, 2000; Ph.D., New
York University, 2007.
- Assistant Professor, Economics
Paape, Max. J. Adjunct Member
B.S., Michigan State University, 1959;
M.S., 1963; Ph.D., 1967.
- Research Scientist, Livestock & Poultry
Sciences Institute
Pacheco, Jose E. Special Member
Ph.D., Universidad Autonomade Sinaloa-
Mexico, 1979.
- Professor Emeritus, Spanish and
Portuguese Languages and Literatures
Pacholczyk, Jozef M. Regular
Member
M.A., University of Warsaw, 1962; M.A.,
Academy of Music, Warsaw, 1964; Ph.D.,
University of California-Los Angeles,
1970.
- Professor, Music, UMBC
- Professor Emeritus, Music
Pacuit, Eric Regular Member
BS Ohio University, 1998; MS Case
Western Reserve University, 2000; PhD
Graduate Center of the City University of
New York, 2005.
- Assistant Professor, Philosophy
Page, Cleveland L. Regular Member
B.Mus., Talladega College, 1960;
Ph.D. .University of Michigan-Ann Arbor,
1968.
- Professor, Music
Page-Voth, Leslie V. Adjunct
Member
B.S., Lewis & Clark College, 1982;M.S.,
Portland State University, 1986;
Ph.D. .University of Maryland-College
Park, 1992.
- Research Associate, Education: Special
Education
Paglione, Johnpierre Regular
Member
Ph.D., University of Toronto, 2004
- Assistant Professor, Chemical Physics
Pahlman, Sharon L. Regular
Member
B.S., Towson State College, 1971;
M.Ed. .Salisbury State College, 1981.
- Agent, Extension Service Caroline
Paik, Ho Jung Regular Member
B.S., Seoul National University, 1966;
M.S., Stanford University, 1970; Ph.D.,
1974.
- Professor, Physics
Pal, Utpal Regular Member
B.S./M.S., University of Calcutta, India;
Ph.D., University of Calcutta, India. Dr.
Pals research focuses on unraveling
virulence mechanism of Lyme disease
agents and Leptospira pathogens as well
as better understanding of host-pathogen
interaction and innate immunity involving
arthropod vectors.
- Associate Professor, Veterinary Medical
Sciences
- Assistant Professor, Animal Sciences
- Affiliate Assistant Professor, Entomology
Paley, Derek Regular Member
B.S., Yale University, 1997; Ph.D.,
Priceton University, 2007.
-Assistant Professor, Engineering:
Aerospace Engineering
- Assistant Professor, Neuroscience and
Cognitive Science
Palinkas, Cynthia Regular Member
B.A., Johns Hopkins University, 1999;
M.S., University of Washington, 2002;
Ph.D., University of Washington, 2005.
- Assistant Professor, Marine-Estuarine-
Environmental Sciences
Palmer, Margaret Regular Member
B.S., Emory University, 1977; M.S.,
University of South Carolina-Columbia,
1979; Ph.D., 1983.
- Director, Biological Sciences Program
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Distinguished Scholar-Teacher,
Biological Sciences Program
- Professor, Entomology
- Professor, Marine-Estuarine-
Environmental Sciences
- Professor, Biological Sciences
Panagiotopoulos,
Athanassios Regular Member
B.S., National Technical University of
Athens, 1982; Ph.D. .Massachusetts
Institute of Technology, 1986.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
Pancer, Zeev Regular Member
B.S., Tel Aviv University, 1985; M.S.,
582
Hebrew University of Jerusalem, 1 988;
Ph.D., Technion-lsrael, 1994.
- Assistant Professor, Marine-Estuarine-
Environmental Sciences
Paoletti, Jo B. Regular Member
B.S., Syracuse University, 1971 ;
M.S. .University of Rhode Island, 1976;
Ph.D., University of Maryland-College
Park,1980.
- Associate Professor, American Studies
Paolisso, Michael J. Regular
Member
B.A., University of California-Los Angeles,
1976; M.A., 1978; Ph.D., 1985.
- Associate Professor, Anthropology
- Affiliate Associate Professor, Women's
Studies
Papadopoulos, Haralabos
C. Regular Member
B.S., Massachusetts Institute of
Technology, 1990; M.S., 1993; Ph.D.,
1998.
- Assistant Professor, Systems Research,
Institute for
Papadopoulos, Konstantinos
Dennis Regular Member
B.Sc, University of Athens-Greece, 1960;
M.Sc, Massachusetts Institute of
Technology, 1965; Ph.D. .University of
Maryland-College Park, 1968.
- Professor, Astronomy
- Professor, Physics
Papamarcou, Adrianos Regular
Member
B.A., University of Cambridge, 1 981 ; M.S.,
Cornell University, 1983; Ph.D., 1987.
- Associate Professor, Engineering:
Electrical & Computer Engineering
Papoian, Garegin Regular Member
B.S.,1994,Russian Academy of Science;
Ph.D., 1999, Cornell University
- Associate Professor, Chemistry
- Associate Professor, Chemical Physics
- Associate Professor, Biophysics
Paquette, Scott Regular Member
- Lecturer, Library Science
- Lecturer, Information Studies
Paquette, Scott Regular Member
- Lecturer, Information Management
Parham, Carol Adjunct Member
B.A., University of Maryland College Park
M.Ed. Johns Hopkins University
Ed. D., University of Maryland College
Park
- Professor of Practice, Education: Policy
and Leadership
- Professor of Practice, Higher Education
and International Education
Parish, Mickey E. Adjunct Member
B.S., Florida State University, 1974; M.S.,
University of Florida, 1 981 ; Ph.D., North
Carolina State University, 1985.
- Adjunct Professor, Nutrition
- Adjunct Professor, Food Science
Park, Julie Regular Member
B.A., University of California, Davis, 1994;
M.PL., University of Southern California,
1998; M.A., University of Southern
California, 2001 ; Ph.D., University of
Southern California, 2003.
- Assistant Professor, Sociology
Park, Julie Jinwon Regular Member
B.A., Vanderbilt University, 2004; M.A.,
University of California-Los Angeles,
2005; Ph.D., University of California-Los
Angeles, 2009.
- Assistant Professor, Education:
Counseling and Personnel Services
Park, Robert L. Regular Member
B.S., University of Texas-Austin, 1958;
M.A., 1960; Ph.D., Brown University,
1964.
- Professor, Physics
Park, Taewoo Regular Member
B.S., Korea University-Seoul, 1982;
M.B.A., State University of New York-
Buffalo, 1991; Ph.D., Purdue University-
West Lafayette, 1996.
- Lecturer, Business and Management
Parks, Sheri L. Regular Member
B.A., University of North Carolina-Chapel
Hill, 1978; M.A., University of
Massachusetts-Amherst, 1983; Ph.D.,
1985.
- Associate Professor, American Studies
- Affiliate Associate Professor, Women's
Studies
Parr, Cynthia Adjunct Member
B.A., Cornell University, 1987; M.S.,
University of Michigan, 1 991 ; Ph.D.,
University of Michigan, 1997
- Adjunct Professor, Biological Sciences
Parry-Giles, Shawn J. Regular
Member
B.F.A., Emporia State University, 1984;
M.A., University of New Mexico, 1987;
Ph.D., Indiana University, 1992.
- Professor, Communication
- Affiliate Professor, Women's Studies
Parry-Giles, Trevor S. Regular
Member
B.A., Ripon College, 1985; M.A.,
University of New Mexico, 1987; Ph.D.,
Indiana University, 1992.
- Professor, Communication
Passannante, Gerard Regular
Member
B.A., Yale University, 2000; PhD
Princeton Univ. 2006.
- Professor, Comparative Literature
- Assistant Professor, English Language
and Literature
Paternoster, Raymond Regular
Member
B.A., University of Delaware, 1973;
M.S. .Southern Illinois University-
Carbondale, 1975; Ph.D. .Florida State
University, 1978.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Criminology and Criminal
Justice
Paterson, Judith Regular Member
B.A., Hollins University, 1960;M.A.,
Auburn University, 1972; Ph.D., 1975.
- Associate Professor, College of
Journalism
- Affiliate Associate Professor, American
Studies
Pati, Jogesh C. Regular Member
B.S., Ravenshaw College, 1955; M.S.,
Delhi University, 1957; Ph.D., University of
Maryland-College Park, 1961.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emeritus, Physics
- Senior Research Scientist, Physics
Paukstelis, Paul Regular Member
B.S., Biology, 1997, University of Kansas;
Ph.D. Molecular Biology, University of
Texas at Austin
- Assistant Professor, Biochemistry
Paul Biemer Regular Member
B.S., Mathematics, Texas ASM University,
College Station, TX, 1972. M.S., Statistics,
Texas A&M University, College Station,
TX, 1976. Ph.D., Statistics, Texas A&M
University, College Station, TX, 1978
- DEFAULT, Survey Methodology
Paul Smith Regular Member
B.S. Mathematics, Drexel (1965); M.S.
Mathematics, Case Western Reserve
(1967); Ph.D Mathematics, Case Western
Reserve (1 969)
- Associate Professor, Survey
Methodology
Payne, Gregory F. Regular Member
Ph.D., 1984, Chemical Engineering, The
University of Michigan, Ann Arbor, Ml
- Professor, Engineering: Bioengineering
Payne, Richard Regular Member
B.A., University of Cambridge, 1977;
Ph.D. .Australian National University-
Canberra, 1982.
- Professor, Neuroscience and Cognitive
Science
- Professor, Biological Sciences
Paynter, Kennedy T. Regular
Member
B.S.,College of William & Mary-
Williamsburg, 1980; Ph.D., Iowa State
University, 1985.
- Director, Marine-Estuarine-
Environmental Sciences
- Director, College of Life Sciences
Pearlin, Leonard I. Regular Member
B.A., University of Oklahoma, 1949;Doctor
of Sociology, Columbia University, 1956.
- Senior Research Scientist, Sociology
Pearson, Barry L. Regular Member
B.A., University of Michigan-Ann Arbor,
1968; M.A., Indiana University-
Bloomington, 1970; Ph.D., Indiana
University, 1977.
- Professor, English Language and
Literature
- Affiliate Professor, American Studies
Pearson, Margaret M. Regular
Member
A.B., Smith College, 1980; M.A., Yale
University, 1982; M.Phil., 1983; Ph.D.,
1986.
- Professor, Government and Politics
Pearson, Sara Regular Member
BA, Music/Dance, University of
Minnesota, 1971 ; Nikolais Louis Dance
Lab (1973-74), Alexander
Technique/Somatic Training, Regina
Wray, Ann Rodiger, Judith Blackstone
(1976-96)
- Associate Professor, Dance
Pease, John Regular Member
B.S., Western Michigan University, 1960;
M.A., Michigan State University, 1963;
Ph.D., 1968.
- Associate Chair, Sociology
- Associate Professor, Sociology
Pecht, Michael Regular Member
Ph.D., University of Wisconsin
(Madison), Professional Engineer, State of
Maryland.
- Professor, Engineering: Reliability
Engineering
Pecht, Michael G. Regular Member
B.EIect.E., University of Wisconsin-
Madison, 1976; M.Mech.E., 1979; Ph.D.,
1982.
- Director, CALCE - Electronic Products
and Systems Center
583
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Engineering: Mechanical
Engineering
Peckerar, Martin C. Regular Member
B.S., State University of New York-Stony
Brook, 1968; M.S. .University of Maryland-
College Park, 1971; Ph.D., 1976.
- Professor, Engineering: Electrical &
Computer Engineering
Peercy, Megan Regular Member
B.A., Trinity University, 1995; M.A.T.,
University of Utah, 2000; Ph.D., University
of Utah, 2004
- Assistant Professor, Education:
Curriculum and Instruction
Penn, Chad J. Special Member
B.S. Pennsylvania State Univ. 1998; M.S.
Univ. of Delaware, 2001 ; Ph.D. Virginia
Tech, 2004.
- DEFAULT, Enviromental Science and
Technology
Penner, Merrilynn Regular Member
B.A., Harvard University, 1966;
Ph.D. .University of California-San Diego,
1970.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
Penniston-Dorland, Sarah Regular
Member
B.A., Harvard University, 1986; M.Ed.,
Harvard University, 1990; M.S., University
of Texas-Austin, 1997; M.A., Johns
Hopkins University, 1999; Ph.D., 2005.
- Assistant Professor, Geology
Penrose, Mehl Regular Member
B.A., University of Missouri-Columbia,
1990; M.A., Kansas University, 1993;
Ph.D., UCLA, 2000.
- Assistant Professor, Spanish and
Portuguese Languages and Literatures
Perez, Daniel Regular Member
B.S./M.S., National University of Cordoba,
Argentina, 1989; Ph.D. University of
Nebraska, 1995. Dr. Perez's research
focuses on interspecies transmission and
pathogenesis of influenza viruses.
- Associate Professor, Animal Sciences
- Associate Professor, Veterinary Medical
Sciences
- Affiliate Professor, Biological Sciences
Pergerson, Constance H. Regular
Member
B.S., Morgan State College, 1959; M.A.,
Coppin State College, 1973.
- Director, Extension Service Anne
Arundel
- Principal Agent, Extension Service Anne
Arundel
- Principal Agent, Cooperative Extension
Service
Perlis, Donald R. Regular Member
B.S., Purdue University, 1966; Ph.D., New
York University, 1972; Ph.D., University of
Rochester, 1981.
- Professor, Advanced Computer Studies,
Institute for
- Professor, Computer Science
- Professor, Neuroscience and Cognitive
Science
Perna, Laura W. Regular Member
B.S., University of Pennsylvania, 1988;
B.A., 1 988; M. P. P. .University of Michigan-
Ann Arbor, 1992; Ph.D., 1997.
- Assistant Professor, Education: Policy
and Leadership
Pertmer, Gary A. Regular Member
B.S., Iowa State University, 1971; M.S.,
University of Missouri-Columbia,
1973;Ph.D., 1978.
- Associate Dean, A. James Clark School
of Engineering
- Associate Professor, Engineering:
Materials Science and Engineering
Pessoa, Luiz Regular Member
Position: Professor CV: B.S., Federal
University of Rio de Janeiro, 1989; M.S.,
Federal University of Rio de Janeiro,
1990; Ph.D., Boston University, 1995
- Professor, Neuroscience and Cognitive
Science
- Professor, Psychology
Peters, James M Regular Member
B.A., Washington State University, 1969;
M.B.A., Washington State University,
1975; Ph.D., University of Pittsburgh,
1989.
- Lecturer, Business and Management
Peters, Robert R. Regular Member
B.S., University of Minnesota-St. Paul,
1973; M.S., 1975; Ph.D., Michigan State
University, 1980.
- Professor, Animal Sciences
Peterson, Carla L. Regular Member
B.A., Radcliffe College, 1965; Ph.D., Yale
University, 1976.
- Professor, English Language and
Literature
- Professor, Comparative Literature
- Affiliate Professor, Women's Studies
- Affiliate Professor, American Studies
Peterson, William S. Regular
Member
B.A., Walla Walla College, 1 961 ; M.A.,
University of Wisconsin-Madison, 1962;
Ph.D., Northwestern University, 1968.
- Professor Emeritus, English Language
and Literature
Pfister, Guenter G. Regular Member
B.A., Bowling Green State University,
1963; M.A., Michigan State University,
1965; Ph.D., University of Kansas, 1970.
- Professor Emeritus, German Literature
and Language
Phaneuf, Raymond J. Regular
Member
A.B., College of the Holy Cross, 1978;
Ph.D., University of Wisconsin-Madison,
1985.
- Professor, Engineering: Materials
Science and Engineering
- Affiliate Associate Professor,
Engineering: Electrical & Computer
Engineering
Phillips, Colin Regular Member
B.A., Oxford University, 1990; Ph.D., MIT,
1996
- Professor, Linguistics
- Professor, Neuroscience and Cognitive
Science
- Affiliate Professor, Second Language
Acquisition-Ph.D.
Phillips, Gordon M. Regular Member
B.A., Northwestern University, 1985; M.A.,
Harvard University, 1991; Ph.D., 1991.
- Associate Professor, Business and
Management
Phillips, Miriam Regular Member
B.A., Mills College, 1 981 ; M.A., UCLA,
1991; C.M.A., Laban/Bartenieff Institute of
Movement Studies
- Assistant Professor, Dance
Phillips, Pepper E. Regular Member
B.A., Hanover College, 1982; M.A.,
Indiana State University, 1984; Ph.D.,
1990.
-Assistant Professor, Education:
Counseling and Personnel Services
Phillips, Sally J. Regular Member
B.S., Slippery Rock State College, 1964;
M.Ed., Colorado State University, 1969;
Ph.D., University of Wisconsin-Madison,
1978.
- Associate Professor Emerita,
Kinesiology
Phillips, Warren R. Regular Member
B.A., Northwestern University, 1963; M.S.,
California State University-San Francisco,
1966; Ph.D., University of Hawaii at
Manoa, 1969.
- Professor Emeritus, Government and
Politics
Phillips, William D. Adjunct Member
B.S., Juniata College, 1970; Ph.D.,
Massachusetts Institute of Technology,
1976.
- Distinguished University Professor,
Chemical Physics
- Distinguished University Professor,
Physics
Piccoli, Philip Michael Adjunct
Member
B.A., University of Montana, 1984; M.S.,
University of Pittsburgh, 1987; Ph.D.,
University of Maryland-College Park,
1992.
- Associate Research Scientist, Geology
Pick, Leslie Regular Member
B.S., Wesleyan University, Middletown,
CT, 1977 Ph.D., Albert Einstein College of
Medicine, Bronx, NY, 1986
- Associate Professor, Neuroscience and
Cognitive Science
- Associate Professor, Biological
Sciences
Pickering, Kenneth E. Adjunct
Member
B.S., Rutgers University-New Brunswick,
1973; M.S.,State University of New York-
Albany, 1975; Ph.D. .University of
Maryland-University College, 1987.
- Adjunct Professor, Atmospheric and
Oceanic Science
Piechocinski, Alganesh T. Regular
Member
B.S., Northern Michigan University, 1977;
M.A., Central Michigan University, 1982.
- Agent, Extension Service Montgomery
- Agent, Cooperative Extension Service
Pierson, James J. Regular Member
Ph.D. University of Washington, 2006
- Research Assistant Professor, Marine-
Estuarine-Environmental Sciences
Pietroski, Paul M. Regular Member
B.A., Rutgers University-New Brunswick,
1986; Ph.D., Massachusetts Institute of
Technology, 1990.
- Distinguished Scholar-Teacher,
Philosophy
- Professor, Linguistics
Pines, Darryll J. Regular Member
B.S., University of California-Berkeley,
1986; M.S., Massachusetts Institute of
Technology, 1988; Ph.D., 1992.
- Professor, Engineering: Aerospace
Engineering
Pinker, Rachel Regular Member
M.Sc, Hebrew University of Jerusalem,
1965; Ph.D. .University of Maryland-
College Park, 1976.
- Professor, Atmospheric and Oceanic
Science
584
Piomelli, Ugo Regular Member
B.S., Universita Degli Studi di Napoli-ltaly,
1979; M.S., University of Notre
Dame, 1984; Ph.D., Stanford University,
1988.
- Professor Emeritus, Engineering:
Mechanical Engineering
Piper, Don C Regular Member
B.A., University of Maryland, 1954; M.A.,
University of Maryland, 1958; Ph.D., Duke
University, 1961.
- Professor Emeritus, Government and
Politics
Place, Allen R. Regular Member
B.A., Johns Hopkins University, 1973;
Ph.D., 1979.
- Professor, Marine-Estuarine-
Environmental Sciences
Plaisant-Schwenn, C. Adjunct
Member
Ph.D. .Pierre & Marie Curie University,
1982.
- Associate Research Scientist, Advanced
Computer Studies, Institute for
Plumly, Stanley Regular Member
B.A.,Wilmington College, 1962; M.A., Ohio
University, 1968; Ph.D., 1970.
- Director, English Language and
Literature
- Director, Creative Writing
- Distinguished University Professor,
English Language and Literature
- Distinguished University Professor,
Creative Writing
Polakoff, Murray E. Regular Member
B.A., New York University, 1946;
M.A., Columbia University, 1949; Ph.D.,
1955.
- Professor Emeritus, Economics
Poole, Terry E. Regular Member
B.S., University of Maryland-College Park,
1973;M.S., 1977.
- Senior Agent, Extension Service
Frederick
- Senior Agent, Cooperative Extension
Service
Pooler, Margaret R. Special Member
B.S., University of North Carolina-Chapel
Hill, 1987; M.S., University of Wisconsin-
Madison, 1989; Ph.D., 1991.
- Adjunct Associate Professor, Plant
Science
Pop, Mihai Regular Member
B.S., Politehnica University Bucharest,
1994; M.S.E., Johns Hopkins University,
1998; Ph.D., Johns Hopkins University,
2000
- Assistant Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Assistant Professor, Computer Science
- Assistant Professor, Computer Science
- Assistant Professor, Biological Sciences
Popper, Arthur N. Regular Member
B.A., New York University-Bronx, 1964;
Ph.D., City University of New York-
Graduate School & Univ. Center, 1 969.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Neuroscience and Cognitive
Science
- Professor, Biological Sciences
Porter, Adam A. Regular Member
B.A., California State University-
Dominguez Hills, 1 986; M.S., University of
California-Irvine, 1988; Ph.D., 1991.
- Associate Professor, Advanced
Computer Studies, Institute for
- Associate Professor, Computer Science
Porter, Tom E. Regular Member
B.S., University of Minnesota-Duluth, 1983;
Ph.D., University of Minnesota-Twin
Cities, 1988.
- Chair, Animal Sciences
- Professor, Biological Sciences
- Professor, Neuroscience and Cognitive
Science
- Professor, Animal Sciences
Portnoy, Barry Special Member
- Distinguished University Professor,
Public Health: Behavioral and Community
Health Ph.D.
- Distinguished University Professor,
Public Health: Master of Public Health-
Community Health Education
Potter, Michael Regular Member
A.B., Princeton University, 1945;
M.D., University of Virginia, 1949.
- Adjunct Professor, Biological Sciences
Power, Paul W. Regular Member
B.A., St. Paul's College, 1953; M.S., San
Diego State University, 1971; Sc.D.,
Boston University, 1975.
- Professor Emeritus, Education:
Counseling and Personnel Services
Prabhala, Nagpurnanand Regular
Member
B.E., Indian Institute of Technology-
Bombay, 1984; M.B.A., Ahmedabad,
India, 1986; Ph.D., New York University,
1994.
- Associate Professor, Business and
Management
Pradhan, Abani K. Regular Member
B.T., Orissa University of Agriculture and
Technology, 1998; M.T., Indian Institute of
Technology, 2001; Ph.D., University of
Arkansas, 2006
- Assistant Professor, Food Science
Prange, Richard E. Regular Member
M.S., University of Chicago, 1955; Ph.D.,
1957.
- Professor Emeritus, Physics
Preece, Jennifer J. Regular Member
B.Sc, University of Ulster, 1971; Ph.D.,
Open University, 1985.
- Dean, Information Management
- Dean, Human-Computer Interaction
- Dean, Library Science
- Dean, Information Studies
Prell, Christina Regular Member
Ph.D., Rensselaer Polytechnic Institute,
2003; M.S. Rensselaer Polytechnic
Institute, 1997; B.A., University of
Massachusetts, 1993.
- Assistant Professor, Sociology
Presser, Harriet B. Regular Member
B.A., George Washington University,
1959; M.A., University of North Carolina-
Chapel Hill, 1962; Ph.D., University of
California-Berkeley, 1969.
- Distinguished University Professor,
Sociology
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Affiliate Professor, Women's Studies
Presser, Stanley Regular Member
A.B., Brown University, 1971 ; Ph.D.,
University of Michigan-Ann Arbor, 1977.
- Assistant Director, Survey Methodology
- Professor, Sociology
Pressly, William L. Regular Member
B.A., Princeton University, 1966; Ph.D.,
New York University-Institute of Fine Arts,
1974.
- Acting Chair, Art History and
Archaeology
Prestegaard, Karen L. Regular
Member
B.A., University of Wisconsin-Madison,
1976; M.S., University of California-
Berkeley, 1979; Ph.D., 1982.
- Associate Professor, Geology
Preston, Lee E. Regular Member
B.A., Vanderbilt University, 1951;
M.A., Harvard University, 1953; Ph.D.,
1958.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emeritus, Business and
Management
Price, Richard N. Regular Member
B.A., University of Sussex, 1965; D.Phil.,
1968.
- Chair, History
- Professor, History
Prince, Stephen D. Regular Member
B.Sc, University of Bristol, 1966; Ph.D.,
University of Lancaster, 1971.
- Professor, Geography
Provine, Robert C. Regular Member
B.A., Harvard University, 1966; M.A.,
1970; M.A., 1972; Ph.D., 1979.
- Professor, Music
Prucha, Ingmar R. Regular Member
M.A., University of Vienna, 1973; Ph.D.,
1977.
- Professor, Economics
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Puchtel, Igor S. Adjunct Member
M.S., Moscow Geological Prospecting
Academy; Ph.D., Russian Academy of
Sciences-Moscow, 1992.
- Associate Research Scientist, Geology
Pugh, Judith A. Regular Member
B.S., West Virginia University, 1 962; M.S.,
University of Maryland-University College,
1984.
- Director, Extension Service Cecil
- Senior Agent, Extension Service Cecil
- Senior Agent, Cooperative Extension
Service
Pugh, William Regular Member
B.S., Syracuse University, 1980;Ph.D.,
Cornell University, 1988.
- Professor, Computer Science
- Professor, Advanced Computer Studies,
Institute for
Pugsley, James H. Regular Member
A.B.,Oberlin College, 1956; M.S.,
University of Illinois-Urbana/Champaign,
1958;Ph.D., 1963.
- Associate Professor Emeritus,
Engineering: Electrical & Computer
Engineering
Pukazhenthi, Budhan Adjunct
Member
B.V.Sc, Madras Veterinary College, 1987;
M.S., University of Maryland College Park,
1992; Ph.D., University of Maryland
College Park, 1996
- Adjunct Professor, Animal Sciences
- Adjunct Professor, Biological Sciences
- DEFAULT, Animal Sciences
Pumroy, Donald K. Regular Member
B.A., University of Iowa, 1949; M.S.,
University of Wisconsin-Madison, 1951;
Ph.D. .University of Washington, 1954.
585
- Professor Emeritus, Education:
Counseling and Personnel Services
Purtilo, James M. Regular Member
B.A., Hiram College, 1978; M.A.,Kent
State University, 1980; Ph.D., University
of Illinois-Urbana/Champaign, 1986.
- Associate Professor, Advanced
Computer Studies, Institute for
- Associate Professor, Computer Science
Qu, Gang Regular Member
B.S., Hefei University of
Technology/China University of Science
and Technology, 1992; M.S., 1994; M.A.,
University of Oklahoma, 1996; M.S.,
University of California-Los Angeles,
1998; Ph.D., 2000
- Associate Professor, Engineering;
Systems Engineering
- Associate Professor, Engineering;
Electrical & Computer Engineering
Qu, Yan Regular Member
B.E., Tsingua University, 1997; M.S.,
Tsingua University, 1999; Ph.D.,
University of Michigan, 2006.
- Assistant Professor, Information Studies
- Assistant Professor, Library Science
- Assistant Professor, Human-Computer
Interaction
Quaye, Stephen Regular Member
B.S., James Madison University, 2002;
M.S., Miami University, 2004; Ph.D., The
Pennsylvania State University, 2008
- Assistant Professor, Education:
Counseling and Personnel Services
Quebedeaux, Bruno Regular
Member
B.S., Louisiana State University-Baton
Rouge, 1962; M.S., 1963; Ph.D., Cornell
University, 1968.
- Professor, Food Science
Quester, George Regular Member
A.B., Columbia College, 1958; M.A.,
Harvard University, 1964; Ph.D, Harvard,
1965
- Distinguished Scholar-Teacher,
Government and Politics
- Professor Emeritus, Government and
Politics
Quiggin, John C. Adjunct Member
B.A., Australian National University, 1978;
BEc, Australian National University, 1980;
MEc, Australian National University, 1984;
Ph.D., University of New England, 1988.
- Adjunct Professor, Agricultural and
Resource Economics
Quinlan, Elizabeth Regular Member
Ph.D., University of Illinois at Chicago,
1993.
- Associate Professor, Neuroscience and
Cognitive Science
- Associate Professor, Biological
Sciences
Quintero-Herencia, Juan
Carlos Regular Member
B.A. Universidad de Puerto Rico, Rio
Piedras 1986; M.A. Princeton University,
1988; Ph.D. Princeton University, 1995
- Chair, Spanish and Portuguese
Languages and Literatures
- Professor, Spanish and Portuguese
Languages and Literatures
Quintiere, James G. Regular
Member
B.S.,New Jersey Institute of Technology,
1962; M.S., New York University, 1966;
Ph.D., 1970.
- Professor, Engineering: Fire Protection
Engineering
- Affiliate Professor, Engineering:
Mechanical Engineering
Quiros, Luis Diego Regular Member
B.Arch., 1999, Universidad del Diseno,
San Jose, Costa Rica; M.Arch., 2002,
Kansas State University
- Assistant Professor, Architecture
Rabenhorst, Martin C. Regular
Member
B.S., University of Maryland-College Park,
1975; M.S., 1978; Ph.D., Texas A&M
University, 1983.
- Professor, Enviromental Science and
Technology
Rabin, Herbert Regular Member
B.S., University of Wisconsin-Madison,
1950; M.S., University of Illinois-
Urbana/Champaign, 1951;
Ph.D. .University of Maryland-College
Park, 1959.
- Associate Dean, A. James Clark School
of Engineering
- Director, Engineering Research Center
- Professor, A. James Clark School of
Engineering
- Professor, Engineering: Electrical &
Computer Engineering
- Professor, Engineering Research Center
Rabin, Oded Regular Member
B.A., The Technion - Israel Institute of
Technology 1996; M.Sc, Weizmann
Institute of Science, 1998; Ph.D.,
Massachusetts Institute of Technology,
2004
- Assistant Professor, Chemical Physics
- Assistant Professor, Engineering:
Materials Science and Engineering
- Assistant Professor, Institute for
Research in Electronics and Applied
Physics
Racusen, Richard H. Regular
Member
B.S., University of Vermont, 1970; M.S.,
1972; Ph.D., 1975.
- Associate Professor, Plant Biology
Radermacher, Reinhard
K. Regular Member
B.S., Technical University-Munich,
1975;M.S., 1977; Ph.D., 1981.
- Director, Center for Environmental
Energy Engineering
- Professor, Engineering: Mechanical
Engineering
Ragan, Robert M. Regular Member
B.S., Virginia Military Institute, 1955; M.S.,
Massachusetts Institute of Technology,
1959; Ph.D., Cornell University, 1965.
- Professor Emeritus, Engineering: Civil
and Environmental Engineering
Raghavan, Srinivasa R. Regular
Member
B.Tech., NT Madras, 1992; Ph.D., North
Carolina State University, 1998.
- Associate Professor, Chemical Physics
- Assistant Professor, Engineering:
Chemical Engineering
- Affiliate Assistant Professor,
Engineering: Bioengineering
Raghavan, Subramanian Regular
Member
B.E., Indian Institute of Technology, 1987;
M.S., Rensselaer Polytechnic
Instituted 988; Ph.D., Massachusetts
Institute of Technology, 1995.
- Professor, Business and Management
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Engineering: Systems
Engineering
Raghunathan, Trivellore
E. Regular Member
B.Sc, Nagpur University, 1977; M.S.,
Miami University, 1983; Ph.D., Harvard
University, 1987.
- Professor, Survey Methodology
Rajarshi, Roy Regular Member
Ph.D., University of Rochester, 1981
- Director, Institute for Physical Sciences
and Technology (IPST)
- Director, Institute for Physical Sciences
and Technology (IPST)
Ralph Bauer Regular Member
B.A., University of Erlangen-Nurnberg,
1991; M.A., Michigan State University,
1993; Ph.D., 1997. Graduate Faculty
Membership: Regular Member
- Professor, Comparative Literature
Ramachandran, Niranjan Regular
Member
B.S., Massachusetts Institute of
Technology, 1991; M.A., Brown
University, 1995; Ph.D., 1996.
- Associate Professor, Mathematics
Ramani, Geetha Regular Member
B.A., Bryn Mawr College, 1998; M.S.,
University of Pittsburgh, 2002; Ph.D.,
2005.
-Assistant Professor, Education: Human
Development
Ramesh, Ramamoorthy Regular
Member
B.S., University of Madras, 1980; B.E.,
Indian Institute of Science-Bangalore,
1983; M.S., University of California-
Berkeley, 1985; Ph.D., 1987.
- Professor, Materials and Nuclear
Engineering
Ramsey, Samuel R. Regular
Member
B.C.E., Georgia Institute of Technology,
1966; M.A., Yale University, 1972; M.Phil.,
1972; Ph.D., 1975.
- Professor, Asian and East European
Languages and Cultures
- Professor, Asian and East European
Languages and Cultures
Rand, William Regular Member
- Assistant Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Affiliate Assistant Professor, Computer
Science
Randall, Martha Lee Adjunct
Member
B.Mus., University of Kansas, 1964;
M.Mus., 1966.
- Lecturer, Music
Randolph, Suzanne M. Regular
Member
B.S., Howard University, 1974; M.A.,
University of Michigan-Ann Arbor, 1977;
Ph.D., 1981.
-Associate Professor, Public Health:
Maternal and Child Health Ph.D.
Raschid, Louiqa Regular Member
B.T., Indian Institute of Technology-
Madras, 1980; M.Eng., Univerisity of
Florida, 1982; Ph.D., University of Florida,
1987.
- Professor, Business and Management
- Associate Professor, Advanced
Computer Studies, Institute for
- Affiliate Professor, Computer Science
586
Rasmusson, Eugene M. Adjunct
Member
B.S., Kansas State University, 1950; M.S.,
St. Louis University, 1963; Ph.D.,
Massachusetts Institute of Technology,
1966.
- Research Professor Emeritus,
Atmospheric and Oceanic Science
Ratchford, Brian Regular Member
B.A., Canisius College, 1964; Ph.D.,
University of Rochester, 1972.
- Professor, Business and Management
Ratner, Nan Bernstein Regular
Member
B.A.,Jackson College-Tufts University,
1974; M.A., Temple University, 1976;
Ed. D., Boston University, 1982.
- Chair, Hearing and Speech Sciences
- Chair, Clinical Audiology
- Professor, Neuroscience and Cognitive
Science
- Professor, Clinical Audiology
- Professor, Hearing and Speech
Sciences
- Affiliate Professor, Second Language
Acquisition-Ph.D.
Rattner, Barnett A. Adjunct Member
B.S., University of Maryland-College Park,
1972; M.S., 1974; Ph.D., 1977.
- Adjunct Professor, Animal Sciences
Raupp, Michael Regular Member
B.S., Cook College, Rutgers University,
1975; M.S. .Rutgers University-New
Brunswick, 1977; Ph.D., University of
Maryland-College Park, 1981.
- Acting Dean, College of Life Sciences
- Professor, Biological Sciences
- Professor, College of Life Sciences
- Professor, Entomology
Ray E. Hiebert Regular Member
B.A., Stanford University, 1954; M.S.,
Columbia University, 1957;
M.A., University of Maryland-College Park,
1961; Ph.D., 1962.
- Professor, College of Journalism
- Professor Emeritus, College of
Journalism
Ray, Sangeeta Regular Member
B.A., University of Calcutta,1980; M.A.,
1983; M.A., Miami University, 1987; Ph.D.,
University of Washington, 1990.
- Director, English Language and
Literature
- Professor, Comparative Literature
- Professor, English Language and
Literature
- Associate Professor, Undergraduate
Studies
- Affiliate Professor, Women's Studies
- Affiliate Professor, American Studies
Reaka, Marjorie L. Regular Member
B.A., University of Kansas, 1965; M.S.,
1969; Ph.D., University of California-
Berkeley, 1 975.
- Professor, Biological Sciences
Redcay, Elizabeth Regular Member
B.A., Duke University, 2001 ; M.A.,
University of California-San Diego, 2003;
Ph.D., University of California-San Diego,
2008.
- Assistant Professor, Psychology
- Assistant Professor, Neuroscience and
Cognitive Science
Redish, Edward F. Regular Member
B.S., Princeton University, 1963; Ph.D.,
Massachusetts Institute of Technology,
1968.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Physics
- Affiliate Professor, Education: Curriculum
and Instruction
Reed, Herbert E. Regular Member
B.S., Eastern Mennonite College, 1973;
M.S. .Pennsylvania State University-
University Park, 1979; Ph.D. .University of
Maryland-College Park, 1989.
- Acting Director, Extension Service
Calvert
- Senior Agent, Extension Service Calvert
- Senior Agent, Cooperative Extension
Service
Reese, Scot M. Regular Member
B.A., University of California, Los Angeles,
1981; M.F.A., Northwestern University,
1994.
- Area Chair, Theatre
- Professor, Theatre
Regan, Thomas M. Regular Member
B.S., Tulane University, 1963; Ph.D.,
1967.
- Associate Dean, A. James Clark School
of Engineering
- Professor, A. James Clark School of
Engineering
- Professor Emeritus, Engineering:
Chemical Engineering
Reger, Rhonda K. Regular Member
B. B.A.Texas A&M University-College
Station, 1979; M.B.A., University of lllinois-
Urbana/Champaign, 1983; Ph.D., 1988.
- Associate Professor, Business and
Management
Reggia, James A. Regular Member
B.S., University of Maryland-College Park,
1971; M.D., University of Maryland at
Baltimore, 1975; Ph.D. .University of
Maryland-College Park, 1981.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor, Computer Science
- Professor, Neuroscience and Cognitive
Science
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Affiliate Professor, Engineering:
Bioengineering
Ren, Jian-Jian Regular Member
B. S., Peking Univ., 1982; M. S. Univ. of
North Carolina-Chapel Hill, 1989; Ph.D.,
Univ. of North Carolina-Chapel Hill, 1990
- Professor, Mathematical Statistics
- Professor, Mathematics
Rendall, Michael Regular Member
Ph.D., Brown University, 1993; A.M.,
Brown University, 1990; M.A., University
of California, Santa Barbara, 1987; B.B.S.,
Massey University, New Zealand, 1 981 .
- Professor, Sociology
Resnik, Philip S. Regular Member
A.B., Harvard University, 1987; M.S.E.,
University of Pennsylvania, 1990; Ph.D.,
1993.
- Professor, Neuroscience and Cognitive
Science
- Professor, Linguistics
- Professor, Advanced Computer Studies,
Institute for
- Affiliate Professor, Computer Science
Reuter, Peter H. Regular Member
B.A., University of New South Wales-
Kensington, 1966; M.Phil., Yale
University, 1971 Ph.D., 1980.
- Professor, Criminology and Criminal
Justice
Reutt-Robey, Janice Regular
Member
B.A., Haverford College, 1980 Ph.D.,
University of California-Berkeley, 1986.
- Professor, Chemical Physics
- Professor, Chemistry
Rey, Georges Regular Member
B.A., University of California-Berkeley,
1970; M.A., Harvard University, 1975;
Ph.D., 1978.
- Professor, Philosophy
- Professor, Neuroscience and Cognitive
Science
Reynolds, Christopher Regular
Member
B.A., Trinity College, Cambridge, UK,
1992; Ph.D., University of Cambridge, Inst
of Astronomy, Cambridge, UK, 1996.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Astronomy
Rhee, Moon-Jhong Regular Member
B.S., Seoul University, 1958; M.S., 1960;
Ph.D., Catholic University of America,
1970.
- Professor Emeritus, Engineering:
Electrical & Computer Engineering
Riaz, Amir Regular Member
Position: Assistant Professor CV: B.S. U.
Eng. & Tech Lahore, 1994; M.S. U.
Southern California, 2001; Ph.D. U.
California Santa Barbara, 2003.
-Assistant Professor, Engineering:
Mechanical Engineering
Rice, Jennifer K. Regular Member
B.S., Marquette University, 1990; M.S.,
Cornell University, 1993; Ph.D., 1995.
- Professor, Education: Policy Studies
-Assistant Professor, Education: Policy
and Leadership
Richard, Jean-Paul Regular Member
Ph.D., Univ. of Paris, 1963;Doctorat
d'Etat, Univ. of Paris, 1965
- Professor Emeritus, Physics
Richards, Mark Adjunct Member
B.S., State University of New York, 1971;
Ph.D., Rutgers University, 1977
- Adjunct Professor, Animal Sciences
Richardson, Brian Regular Member
B.A., University of Washington, 1982;
M.A., 1984; Ph.D., 1988.
- Professor, Comparative Literature
- Professor, English Language and
Literature
Richardson, Derek C. Regular
Member
B.S., University of British Columbia, 1990;
Ph.D., University of Cambridge, 1993.
- Associate Professor, Astronomy
Richardson, Patricia Adjunct
Member
B.S., University of Maryland, 1972; M.Ed.,
University of Maryland, 1977; Ph.D. ,
University of Maryland, 1981
- Professor of Practice, Higher Education
and International Education
Richardson, William C. Regular
Member
B.F.A., University of North Carolina-
Chapel Hill, 1975; M.F.A., Washington
University, 1977.
- Chair, Art Studio
Ricotti, Massimo Regular Member
B.S./M.S., University of Florence, 1996;
M.S., University of Colorado-Boulder,
1999; Ph.D., University of Colorado-
587
Boulder, 2001
- Associate Professor, Astronomy
Ridgway, Whitman H. Regular
Member
A.B., Kenyon College, 1963; M.A., San
Francisco State University, 1967; Ph.D.,
University of Pennsylvania, 1973; J.D.,
University of Maryland at Baltimore, 1985
- Associate Chair, History
- Associate Professor, History
Riggins (DeBoer), Tracy Regular
Member
B.S. University of California - San Diego,
2000 Ph.D. University of Minnesota, 2005
- Assistant Professor, Psychology
- Assistant Professor, Neuroscience and
Cognitive Science
Riley, Donald R. Regular Member
B.S., Purdue University, 1969; M.S., 1970;
Ph.D., 1976.
- Vice President, Office of Information
Technology, CIO
- Professor, Engineering:
Telecommunications
- Professor, Office of Information
Technology, CIO
- Professor, Business and Management
- Affiliate Professor, Engineering:
Mechanical Engineering
Rindova, Violina Regular Member
J.D., University of Sofia, 1990; M.B.A.,
University of Houston-Madrid Business
School, 1992; Ph.D., New York University,
1999.
- Associate Professor, Business and
Management
Rippen, Thomas E. Regular Member
B.S., Michigan State University, 1975;
M.S., 1981.
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Sea Grant Extension
Ristvey, Andrew Adjunct Member
Ph.D. University of Maryland, 2004
- Adjunct Assistant Professor, Plant
Science
Ritter, Ronald L. Regular Member
B.S., University of Delaware, 1975; M.S.,
North Carolina State University, 1977;
Ph.D., 1979.
- Professor, Plant Science
Ritz, Steven M. Regular Member
B.A., Wesleyan University, 1981; M.S.,
University of Wisconsin-Madison, 1982;
Ph.D., University of Wisconsin-Madison,
1988;
- Adjunct Professor, Physics
Ritzer, George Regular Member
B.A., City University of New York-City
College, 1962; M.B.A., University of
Michigan-Ann Arbor, 1964; Ph.D., Cornell
University, 1968.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Distinguished University Professor,
Sociology
- Professor, Sociology
- Affiliate Professor, American Studies
Rivera, William M. Regular Member
B.A., University of North Carolina-Chapel
Hill, 1955; M.A., American University,
1959; Ph.D., Syracuse University, 1974.
- Associate Professor, College of
Agriculture and Natural Resources
Robb, Frank T. Regular Member
B.S., University of Capetown, 1968; Ph.D.,
University of California-Riverside, 1973.
- Professor, Marine-Estuarine-
Environmental Sciences
Robert Croninger Regular Member
Ph.D. Education Studies, University of
Michigan (1997)
- Associate Professor, Survey
Methodology
Robert E. Fay Regular Member
Ph.D. Statistics (1974), University of
Chicago
- Research Professor, Survey
Methodology
- DEFAULT, Survey Methodology
Roberts, Douglas A. Regular
Member
B.S., California Institute of Technology,
1988;M.S., University of California-Los
Angeles, 1992; Ph.D., 1994.
- Associate Chair, Physics
- Associate Professor, Physics
Roberts, Eugene L. Regular Member
B.A., University of North Carolina-Chapel
Hill, 1954.
- Professor Emeritus, Journalism
Roberts, James Special Member
B.S., Virginia Polytechnic Institute and
State University, 2001 ; M.S., University of
Colorado at Boulder, 2003; Ph.D.,
University of Colorado at Boulder, 2006.
- DEFAULT, Geology
Robertson, Carol E. Regular
Member
B.A., Indiana University-Bloomington,
1970; M.A., 1972; Ph.D., 1975.
- Affiliate Professor, American Studies
- Affiliate Professor, Anthropology
Robertson-Tchabo, E.A. Regular
Member
B.A., University of Calgary, 1966; M.S.,
University of Southern California-Los
Angeles, 1967; Ph.D., 1972.
- Associate Professor, Education: Human
Development
Robinson, Eugene S. Adjunct
Member
B.A., University of Maryland-College Park,
1973; M.A., 1975; Ph.D., 1984.
- Instructor, Comparative Literature
Robinson, John P. Regular Member
B.A., St. Michael's College, University of
Toronto, 1957 M.S., Virginia Polytechnic
Institute, 1959; M.S., University of
Michigan-Ann Arbor, 1963; M.S., 1964;
Ph.D., 1965.
- Professor, Sociology
Rockcastle, Garth C. Regular
Member
B.Arch., Pennsylvania State University,
1974; M.Arch., Cornell University, 1978.
- Dean, School of Architecture
- Professor, Architecture
Roderick, Jessie A. Regular
Member
B.S., Wilkes College, 1 956; M.A.,
Columbia University, 1957; Ed.D., Temple
University, 1967.
- Professor Emerita, Education:
Curriculum and Instruction
Rodriguez, Ana Patricia Regular
Member
B.A., University of California-Berkeley,
1987; M.A., University of California-Santa
Cruz, 1994; Ph.D., 1998.
- Associate Professor, Spanish and
Portuguese Languages and Literatures
- Affiliate Associate Professor, Women's
Studies
Roesch, Matthew Regular Member
B.S., University of Pittsburgh, 1997; Ph.D.,
University of Pittsburgh and Carnegie
Mellon University, 2004.
- Assistant Professor, Neuroscience and
Cognitive Science
Roesch, Matthew Regular Member
B.S., University of Pittsburgh, 1997; Ph.D.,
University of Pittsburgh, 2004.
- Assistant Professor, Psychology
Rogers, Carol Lombard Regular
Member
B.A., Tusculum College, 1966; M.A.,
George Washington University, 1975
Ph.D., University of Maryland, 1998.
- Professor of Practice, Journalism
Rogers, Marc A. Regular Member
B.S., State University of New York-College
at Cortland, 1976; M.Ed., University of
Nevada-Las Vegas, 1979; Ph.D.,
University of Minnesota-Twin Cities,
1984.
- Associate Professor, Kinesiology
Rokita, Steven E. Regular Member
B.S., University of California-Berkeley,
1979; Ph.D. .Massachusetts Institute of
Technology, 1983.
- Director, Biochemistry
- Director, Chemistry
- Professor, Biochemistry
- Professor, Chemistry
Roman, Michael R. Regular Member
B.A., Lake Forest College, 1971 ; M.A.,
CUNY-City College of New York,
1973;Ph.D., University of New Hampshire-
Durham, 1976.
- Professor, Marine-Estuarine-
Environmental Sciences
Roos, Philip G. Regular Member
B.A., Ohio Wesleyan University, 1960;
Ph.D., Massachusetts Institute of
Technology, 1964.
- Professor, Physics
Rosemblatt, Karin A. Regular
Member
PhD, University of Wisconsin-Madison,
1996
- Associate Professor, History
- Affiliate Associate Professor, History
- Affiliate Associate Professor, Women's
Studies
Rosenberg, Jonathan M. Regular
Member
A.B., Harvard University, 1972; Math.
Tripos, Pt. Ill, University of Cambridge,
1973; Ph.D. .University of California-
Berkeley, 1976.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Mathematics
Rosenfeld, Azriel Regular Member
B.A., Yeshiva University, 1950;
M.A., Columbia University, 1951;
Ordination, Yeshiva University, 1952;
M.H.L, 1953; M.S., 1954; D.H.L, 1955;
Ph.D., Columbia Univers
- Distinguished University Professor,
Advanced Computer Studies, Institute for
- Affiliate Professor, A. James Clark
School of Engineering
Rosenfelt, Deborah S. Regular
Member
B.A., Goucher College, 1964; M.A.,
Columbia University, 1965; Ph.D.,
University of California-Los Angeles,
1972.
- Professor, Women's Studies
- Affiliate Professor, American Studies
588
Rosenfield, Sylvia A. Regular
Member
B.A., Cornell University, 1960;M.A.,
University of Illinois-Urbana/Champaign,
1961; Ph.D. .University of Wisconsin-
Madison, 1967.
- Professor Emeritus, Education:
Counseling and Personnel Services
Rosenthal, Benjamin M. Adjunct
Member
B.A., Oberlin College, 1990; Ph.D.,
Harvard School of Public Health, 1999
- Adjunct Professor, Biological Sciences
Rosenthal, Laura Regular Member
B.A., Johns Hopkins University, 1983;
M.A. Northwestern University, 1985;
Ph.D., Northwestern University, 1990.
- Professor, English Language and
Literature
- Affiliate Professor, Women's Studies
Ross, David S. Regular Member
B.S., Pennsylvania State University-
University Park, 1969; M.S., 1971; Ph.D.,
1973.
- Professor Emeritus, Enviromental
Science and Technology
- Affiliate Professor, Engineering:
Bioengineering
Ross, James Regular Member
B.M. Harvard University, 1981; Diploma,
Curtis Institute of Music.
- Associate Professor, Music
Ross, Michael Regular Member
B.A., Skidmore College, 1986; J.D., Duke
University School of Law, 1989; M.A.,
University of Massachusetts Amherst,
1992; PhD., University of North Carolina
Chapel Hill, 1999.
- Associate Professor, History
Roth, Froma P. Regular Member
B.A., CUNY-Hunter College, 1 970; M.A.,
CUNY-Queens College, 1973;
Ph.D.,CUNY-Graduate School &
University Center, 1980.
- Professor, Neuroscience and Cognitive
Science
- Professor, Hearing and Speech
Sciences
- Associate Professor, Clinical Audiology
Roth, Stephen M. Regular Member
B.S., University of Montana, 1996; M.A.,
University of Maryland, 1998; Ph.D.,
University of Maryland, 2000
- Associate Professor, Kinesiology
- Associate Professor, Neuroscience and
Cognitive Science
Rothman, Korey Regular Member
B.A., University of Florida, 1994; M.A.,
University of Maryland, 2000; Ph.D.,
University of Maryland, 2005.
- Visiting Assistant Professor, Theatre
Rouse, Robert J. Regular Member
B.S., University of Delaware, 1969; M.S.,
University of Maryland-College Park,
1979.
- Principal Agent, Cooperative Extension
Service
- Principal Agent, CES - Wye Resource
and Education Center
Rouse, Stella M. Regular Member
B.A., Louisiana State University, 1996;
M.A., Louisiana State University, 2007;
Ph.D., Louisiana State University, 2008.
- Assistant Professor, Government and
Politics
Roush, Marvin Regular Member
Ph.D., University of Maryland, 1964
- Professor Emeritus, Engineering:
Mechanical Engineering
Roush, Marvin L. Regular Member
B.Sc, Ottawa University, 1956;Ph.D.,
University of Maryland-College Park,
1964.
- Professor Emeritus, Engineering:
Reliability Engineering
Roussopoulos, Nicholas Regular
Member
B.A., University of Athens-Greece, 1969;
M.S., University of Toronto, 1973; Ph.D.,
1977.
- Professor, Computer Science
- Professor, Advanced Computer Studies,
Institute for
Rowe, Christopher L. Regular
Member
B.S., Pennsylvania State University-
University Park, 1989; Ph.D., 1994.
- Associate Professor, Marine-Estuarine-
Environmental Sciences
Rowe, Dian N. Regular Member
B.S., University of Delaware, 1966;
M.S. .University of Maryland-College Park,
1984.
- Senior Agent, Extension Service Kent
- Senior Agent, Cooperative Extension
Service
Rowe, Meredith L. Regular Member
B.A., University of Rochester, 1993; M.S.,
1995; Ed.M., Harvard University, 1999;
Ed.D., 2003.
- Assistant Professor, Education: Human
Development
Rowland, Leslie S. Regular Member
B.A., Texas Christian University, 1968;
M.A., University of Rochester, 1970; Ph.D.,
1991.
- Associate Professor, History
- Affiliate Associate Professor, American
Studies
Rowley, Michelle V. Regular
Member
B.A., The University of the West Indies,
1992; M.Sc, The University of the West
Indies, 1996; Ph.D., Clark University,
2003
- Assistant Professor, Women's Studies
Roy, Kevin Regular Member
B.S.F.S., Georgetown University, 1988;
M.A., Northwestern University, 1995;
Ph.D., Northwestern University, 1999.
- Associate Professor, Public Health:
Maternal and Child Health Ph.D.
- Associate Professor, Family Science
Roy, Rajarshi Regular Member
B.S., University of Delhi, 1973; M.S.,
1975; M.A., University of Rochester, 1977;
Ph.D., 1981.
- Director, Institute for Physical Sciences
and Technology (IPST)
- Professor, Physics
- Professor, Chemical Physics
Roytburd, Alexander Regular
Member
M.D., Moscow Institute for Steel and
Alloys, 1956; Ph.D. .Academy of Science-
U.S.S.R., 1962; Doc.Sc, 1972.
- Professor, Engineering: Materials
Science and Engineering
Rozenblit, Marsha L. Regular
Member
B.A., Barnard College, 1971; M.A.,
Columbia University, 1974; Ph.D., 1980.
- Director, Jewish Studies
- Professor, History
- Professor, Jewish Studies
- Professor, Jewish Studies
Rubin, Kenneth H. Regular Member
B.A., McGill University-Montreal, 1968;
M.S. .Pennsylvania State University-
University Park, 1969; Ph.D., 1971.
- Professor, Education: Human
Development
Rubin, Roger H. Regular Member
B.A., City University of New York-Brooklyn
College, 1965; M.S. .Pennsylvania State
University-University Park, 1966; Ph.D.,
1970.
- Associate Professor Emeritus, Family
Science
Rubloff, Gary W. Regular Member
B.A., Dartmouth College, 1966; M.S.,
University of Chicago, 1967; Ph.D., 1971.
- Professor, Systems Research, Institute
for
- Professor, Engineering: Materials
Science and Engineering
- Professor, Engineering: Systems
Engineering
-Affiliate Professor, Engineering:
Electrical & Computer Engineering
-Affiliate Professor, Engineering:
Bioengineering
Rudnick, Roberta L. Regular
Member
B.S., Portland State University, 1980;
M.S., Sul Ross State University, 1983;
Ph.D., Australian National University-
Canberra, 1988.
- Chair, Geology
- Distinguished University Professor,
Geology
Rudolph, Daniel Regular Member
B.S., California Institute of Technology,
1972; M.S., Stanford University, 1973;
Ph.D., 1975.
- Distinguished Scholar-Teacher,
Distinguished Faculty
Rudy, Jason Regular Member
B.A., Princeton University, 1997; PhD.,
Rutgers University, 2004.
-Associate Professor, English Language
and Literature
Ruiz-Barradas, Alfredo Adjunct
Member
B.S., Universidad Veracruzana , Xalapa,
Veracruz, MEXICO, 1987; M.S.,
Universidad Nacional Autonoma de
Mexico, 1991; M.S., University of
Maryland, 1998; Ph.D., University of
Maryland, 2001.
- Associate Research Scientist,
Atmospheric and Oceanic Science
Rupp, Andre Regular Member
B.A., University of Hamburg, 1997; M.A.,
Northern Arizona University, 1999; M.S.,
Northern Arizona University, 2001 ; Ph.D.,
University of British Columbia-Vancouver,
2003.
-Assistant Professor, Education:
Measurement, Statistics and Evaluation
Ruppert, John Regular Member
B.A., Miami University-Ohio, 1974; M.F.A.,
Rochester Institute of Technology, 1977.
- Associate Professor, Art Studio
Russell, Horace L. Adjunct Member
B.S., Bradley University, 1958; M.S., Air
Force Institute of Technology, 1965;
Ph.D., Purdue University, 1971.
- Associate Dean, A. James Clark School
of Engineering
Russell, Joyce E.A. Regular
Member
589
B.A., Loyola University, 1978; M.A.,
University of Akron, 1 980; Ph.D.,
University of Akron, 1 982.
- Lecturer, Business and Management
Rust, John Philip Regular Member
B.A., University of Pennsylvania, 1977
Ph.D., Massachusetts Institute of
Technology, 1983
- Professor, Economics
Rust, Roland T. Regular Member
B.A., DePauw University, 1974; M.B.A.,
University of North Carolina-Chapel Hill,
1977; Ph.D., University of North Carolina-
Chapel Hill, 1979.
- Professor, Business and Management
Ruth, Matthias Regular Member
M.A.,Ruprecht-Karls-Universitat,
1989;Ph.D., University of Illinois, 1992
- Affiliate Professor, Engineering: Civil and
Environmental Engineering
Rutherford, Charles S. Regular
Member
B.A., Carleton College, 1962; M.A., Indiana
University-Bloomington, 1966; Ph.D.,
1970.
- Associate Dean, College of Arts and
Humanities
- Assistant Professor, English Language
and Literature
- Assistant Professor, College of Arts and
Humanities
Rutledge, Steven H. Regular
Member
B.A., University of Massachusetts-Boston,
1989; Ph.D., Brown University, 1996.
- Associate Professor, Classics
Rymer, Vicki S. Regular Member
B.S., University of Maryland-College Park,
1961 ; M.B.A., University of Maryland-
College Park, 1966; Ph.D., University of
Maryland-College Park, 1983.
- Lecturer, Business and Management
Sachs, Stephen F. Adjunct Member
B.Arch. Ohio University-Athens, 1968.
- Associate Dean, Career Center
Safar, Zoltan Adjunct Member
University Diploma, Technical University
of Budapest, 1996; Ph.D., University of
Maryland, 2003
- Director, Engineering:
Telecommunications
Sagdeev, Roald Z. Regular Member
B.S., University of Moscow, 1955;Ph.D.,
Institute of Physical Problems-Moscow,
1960; D.S.,U.S.S.R. Academy of
Sciences-Siberia, 1962.
- Director, Physics
- Director, East-West Space Science
Center
- Distinguished University Professor,
Physics
Sagoff, Mark Adjunct Member
B.A., Harvard University, 1963; Ph.D.,
University of Rochester, 1970.
- Senior Research Scholar, Philosophy
and Public Policy, Institute for
Sahyoun, Nadine Regular Member
B.A., University of Massachusetts, 1974;
M.S. .University of lowa,1979;Ph.D., Tufts
University School of Nutrition, 1995
- Associate Professor, Nutrition
Saksvig, Brit Regular Member
B.A, St. Olaf College, 1989 M.H.S., Johns
Hopkins University Bloomberg School of
Public Health, 1996 Ph.D., Johns Hopkins
University Bloomberg School of Public
Health, 2002
- Research Assistant Professor, Public
Health: Master of Public Health-
Epidemiology
- Research Assistant Professor, Public
Health: Epidemiology Ph.D.
- Research Assistant Professor, Public
Health: Master of Public Health-
Biostatistics
Salamanca, Jack R. Regular
Member
Dipl., University of London, 1953;
Licentiate, Graduate School Of Drama-
Royal Academy of Music, 1954.
- Professor Emeritus, English Language
and Literature
Salamanca-Riba, Lourdes
G. Regular Member
B.S.,Universidad Autonoma
Metropolitana, 1978; Ph.D.,
Massachusetts Institute of Technology,
1985.
- Associate Professor, Materials and
Nuclear Engineering
Salamanca-Riba, Lourdes
G. Regular Member
Ph.D, Massachusetts Instutite of
Technology.
- Professor, Engineering: Materials
Science and Engineering
- Professor, Chemical Physics
Salawitch, Ross J. Regular Member
B.S., Cornell University, 1981 Ph.D.,
Harvard University, 1987.
- Professor, Atmospheric and Oceanic
Science
- Professor, Chemistry
Salness, David Regular Member
Diploma, Curtis Institute of Music, 1985.
- Professor, Music
Saltz, Joel H. Regular Member
B.S., University of Michigan-Ann Arbor,
1977; M.A., 1978; M.D., Duke University,
1985; Ph.D., 1985.
- Professor, Advanced Computer Studies,
Institute for
Salzberg, Steven L. Regular
Member
Ph.D., Harvard University, 1989
- Professor, Institute for Advanced
Computer Studies (UMIACS)
- Professor, Biological Sciences
- Professor, Computer Science
- Affiliate Professor, Engineering:
Bioengineering
Samal. Siba K. Regular Member
B.V.Sc. & A.H., Orissa University of
Agriculture & Technology, 1976; M.V.Sc,
Indian Veterinary Research Institute,
1978; M.S., Texas A&M University, 1981;
Ph.D., Texas A&M University, 1985.
Diplomate American College of Veterinary
Microbiologists, 1988. Dr. Samal's
research focuses on paramyxovirus
pathogenesis, engineering
paramyxoviruses as vaccines and vaccine
vectors against animal and human
pathogens.
- Associate Dean, Virginia-Maryland
Regional College of Veterinary Medicine
- Associate Dean, Animal Sciences
- Professor, Veterinary Medical Sciences
Samet, Hanan Regular Member
B.S., University of California-Los Angeles,
1970; M.S., Stanford University, 1975;
Ph.D., 1975.
- Professor, Computer Science
- Professor, Advanced Computer Studies,
Institute for
Sampou, Peter A. Adjunct Member
B.S., University of Wisconsin-Green Bay,
1979; Ph.D., University of Rhode
Island, 1989.
- Assistant Research Professor, Horn
Point Environmental Laboratory
Sampson, Rachelle Regular
Member
B.A., L.L.B, Queensland University of
Technology, 1992; Ph.D.., University of
Michigan, 1999.
-Assistant Professor, Business and
Management
Sanchez De Pinillos,
Hernan Regular Member
B.A., University of Madrid, 1987; M.Phil.,
Columbia University, 1992; Ph.D., 1996;
Ph.D., University of Madrid, 1996.
- Associate Professor, Spanish and
Portuguese Languages and Literatures
Sandborn, Peter Regular Member
Ph.D., University of Michigan, 1987
- Professor, Engineering: Reliability
Engineering
Sandborn, Peter A. Regular Member
B.S., University of Colorado-Boulder,
1982; M.S., University of Michigan-Ann
Arbor,1 983; Ph.D., 1987.
- Professor, Engineering: Mechanical
Engineering
Sandford, R.J. Regular Member
B.M.E., George Washington University,
Washington, D.C. 1962 M.S.E., Major in
Solid Mechanics, George Washington
University, Washington, D.C. 1965 Ph.D.,
Major in Solid Mechanics, Minor in
Mathematics, Catholic University of
America, Washington, D.C. 1971 Post-
doctoral Study: University of Maryland,
1978-79
- Professor Emeritus, Engineering:
Mechanical Engineering
Sandstrom, Boden Adjunct Member
B.A., St. Lawrence University; M.L.S.,
University of Michigan; M.S., American
University (Audio Technology); Ph.D.,
University of Maryland
- Lecturer, Music
Sanford, Lawrence P. Regular
Member
Sc.B., Brown University, 1978;
Ph.D. .Massachusetts Institute of
Technology-Woods Hole, 1984.
- Professor, Marine-Estuarine-
Environmental Sciences
Sanner, Robert Regular Member
B.S., Massachusetts Institute of
Technology, 1985; M.S., 1988; Sc.D.,
1993.
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
-Associate Professor, Engineering:
Aerospace Engineering
Saracho, Olivia N. Regular Member
B.S., Texas Women's University, 1967;
M.Ed., 1972; Ph.D., University of lllinois-
Urbana/Champaign, 1978.
- Professor, Education: Curriculum and
Instruction
Sartorius, David Regular Member
PhD, University of North Carolina-Chapel
Hill, 2003
- Assistant Professor, History
Sather, Jerome O. Regular Member
B.S., University of Minnesota-Twin Cities,
1957; M.S., 1959; Ph.D., 1963.
590
- Associate Professor Emeritus,
Mathematics
Sauber, Jeanne Special Member
B.S., University of Michigan, 1976; M.S.,
University of South Carolina, 1979; Ph.D.,
Massachusetts Institute of Technology,
1988.
- DEFAULT, Geology
Sauer, John R. Adjunct Member
B.A., Rutgers College, 1977; M.S.,
University of Wyoming, 1980; M.A.,
University of Kansas, 1984; M.Phil.,
University of Kansas, 1984; Ph.D.,
University of Kansas, 1985
- Adjunct Professor, Biological Sciences
Sawyer, Robin G. Regular Member
B.S., George Mason University,
1978;M.Ed., University of Virginia, 1980;
Ph.D. .University of Maryland-College
Park, 1990.
- Associate Chair, Public Health:
Behavioral and Community Health Ph.D.
- Associate Professor, Public Health:
Behavioral and Community Health Ph.D.
- Associate Professor, Public Health:
Master of Public Health-Community
Health Education
Sazawal, Vibha Regular Member
Ph.D., University of Washington, 2005
- Assistant Professor, Computer Science
Scales, William R. Adjunct Member
B.S.E., Emporia State University, 1959;
M.S., 1963; Ed.D., Indiana University-
Bloomington, 1970.
- Assistant Director, Counseling Center
Schafer, James A. Regular Member
B.S., University of Rochester, 1961; M.S.,
University of Chicago, 1962; Ph.D., 1965.
- Professor, Mathematics
Schafer, Judy Adjunct Member
B.A., Loyola College, 1972; M.S., Loyola
College, 1973; Ph.D., University of
Maryland at College Park, 1979; J.D.,
Georgetown University Law Center, 1986.
- Lecturer, Hearing and Speech Sciences
Schafer, William D. Regular Member
B.A., University of Rochester, 1964; M.A.,
1965; Ed.D., 1969.
- Professor Emeritus, Education:
Measurement, Statistics and Evaluation
Schake, Kori Naomi Adjunct
Member
B.A., Stanford University, 1984; M.P.M.,
University of Maryland-College Park, 1987;
M.A., 1988; Ph.D., 1996.
- Visiting Assistant Professor, Center for
International and Security Studies
Scheffer, Sonja Special Member
B.A., Oberlin College, 1986; M.S.,
University of Cincinnati, 1990; Ph.D.,
State University of New York Stony Brook,
1995.
- Adjunct Associate Professor,
Entomology
Schelling, David Regular Member
B.S., Lehigh University, 1961 ; M.S.,
Drexel University, 1964; Ph.D., University
of Maryland-College Park, 1968.
- Professor Emeritus, Engineering: Civil
and Environmental Engineering
Schelling, Thomas C. Regular
Member
B.A., University of California-Berkeley,
1943;Ph.D., Harvard University, 1951.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emeritus, Economics
Schijf, Johan Regular Member
Ph.D., Universiteit Utrecht, 1992.
- Assistant Professor, Marine-Estuarine-
Environmental Sciences
Schlesinger, B. Frank Regular
Member
B.S., University of lllinois-
Urbana/Champaign, 1950;
M.Arch., Harvard Graduate School of
Design, 1954.
- Professor Emeritus, Architecture
Schmidt, Janet A. Adjunct Member
B.A., Allegheny College, 1975; M.A.,Ohio
State University-Columbus, 1977; Ph.D.,
University of Minn
- Director, A. James Clark School of
Engineering
Schmidt, Linda Regular Member
Ph.D, Carnegie Mellon University, 1995
- Associate Professor, Engineering:
Reliability Engineering
Schmidt, Linda C. Regular Member
B.S., Iowa State University, 1989; M.S.,
1991; Ph.D., Carnegie Institute of
Technology, 1995.
- Associate Professor, Engineering:
Mechanical Engineering
- Assistant Professor, Systems Research,
Institute for
- Assistant Professor, Systems Research,
Institute for
Schmidtlein, Frank A. Regular
Member
B.S., Kansas State University, 1954; M.A.,
University of California-Berkeley,
1970;Ph.D., 1979.
- Associate Professor Emeritus,
Education: Policy and Leadership
Schmitz, Fredric H. Adjunct Member
B.E., Rensselaer Polytechnic Institute,
1964; M.S., Princeton University,
1966;Ph.D., 1969.
- Professor, Engineering: Aerospace
Engineering
Schneider, Benjamin Regular
Member
B.A.,Alfred University, 1960; M.B.A., City
University of New York-Bernard Baruch,
1962; Ph.D., University of Maryland-
College Park, 1967.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
Schoenian, Susan G. Regular
Member
B.S., Virginia Polytechnic Institute & State
University, 1984; M.S., Montana State
University, 1988.
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service
Wicomico
Scholnick, Ellin K. Regular Member
A.B., Vassar College, 1958; Ph.D.,
University of Rochester, 1963.
- Associate Provost, Academic Affairs
- Professor, Psychology
- Professor, Academic Affairs
- Professor, Neuroscience and Cognitive
Science
- Affiliate Professor, Women's Studies
Schonfeld, Paul M. Regular Member
B.S., Massachusetts Institute of
Technology, 1974; M.S., 1974;
Ph.D. .University of California-Berkeley,
1978.
- Professor, Engineering: Civil and
Environmental Engineering
Schott, Eric Special Member
B.A., Reed College, 1985; Ph.D., Harvard
Medical School, 1994.
- Research Assistant Professor, Marine-
Estuarine-Environmental Sciences
Schreier, Harold Regular Member
B.S., California Polytechnic State
University, 1978; Ph.D., Pennsylvania
State University, 1983.
-Associate Professor, Marine-Estuarine-
Environmental Sciences
Schuler, Catherine A. Regular
Member
B.A., Eckerd College, 1974; M.A.,
Emerson College, 1977; Ph.D., Florida
State University, 1 984.
- Associate Professor, Women's Studies
Schultz, Ted Adjunct Member
B.A., University of California Berkeley,
1988; Ph.D., Cornell University, 1995.
- Adjunct Professor, Entomology
Schuyler, Philip D. Regular Member
B.A.,Yale University, 1968; M.A.,
University of Washington, 1974; Ph.D.,
1979.
-Assistant Professor, Music, UMBC
Schwab, Keith C. Regular Member
B.A., University of Chicago, 1990; Ph.D.,
UC Berkeley, 1996;
- Adjunct Associate Professor, Physics
Schwab, Robert M. Regular Member
B.A., Grinnell College, 1969;
M.A., University of North Carolina-Chapel
Hill, 1971; Ph.D., Johns Hopkins
University, 1980.
- Associate Chair, Economics
Schwartz, Charles W. Regular
Member
B.S.C.E., Massachusetts Institute of
Technology, 1974; M.S.C.E., 1977; Ph.D.,
1979.
-Associate Professor, Engineering: Civil
and Environmental Engineering
Schwartz, Donald M., Jr. Regular
Member
B.S., University of Maryland-College Park,
1973; M.S., 1983.
- Senior Agent, Extension Service
Washington
- Senior Agent, Cooperative Extension
Service
Schwenk, Frankie N. Regular
Member
B.S.Jowa State University, 1962; M.S.,
1964; Ph.D. .University of Maryland-
College Park, 1983.
- Assistant Director, Family & Consumer
Sciences
- Associate Professor, Family & Consumer
Sciences
- Associate Professor, Cooperative
Extension Service
Scott, Marvin W. Adjunct Member
B.S., East Stroudsburg University, 1973;
M.A.,Ohio State University-Columbus,
1974; Ed.D., University of North Carolina-
Greensboro, 1986.
- Instructor, Kinesiology
Scullen, Mary Ellen Regular Member
M.A., Indiana University-Bloomington,
1990; Ph.D., 1993.
- Associate Professor, Modern French
Studies
- Associate Professor, French Language
and Literature
- Affiliate Associate Professor, Second
Language Acquisition-Ph.D.
591
Secor, David H. Regular Member
B.S., Macalester College, 1983; M.S.,
University of South Carolina, 1985;Ph.D.,
1990.
- Professor, Marine-Estuarine-
Environmental Sciences
Sedlacek, William E. Regular
Member
B.S., Iowa State University, 1960;M.S.,
1961; Ph.D., Kansas State University,
1966.
- Professor Emeritus, Education:
Counseling and Personnel Services
- Professor Emeritus, Counseling Center
Sedwick, Raymond Regular Member
B.S., Penn State University; S.M.,
Massachusetts Institute of Technology;
Ph.D., Massachusetts Institute of
Technology
- DEFAULT, Engineering: Aerospace
Engineering
Seefeldt, Carol A. Regular Member
B.A., University of Wisconsin-Milwaukee,
1956; M.A., University of South
Florida,1968; Ph.D., Florida State
University, 1971.
- Distinguished Scholar-Teacher,
Distinguished Faculty
Segal, David R. Regular Member
B.A., Harpur College, 1962; M.A.,
University of Chicago, 1964; Ph.D., 1967;
D.H.L.Towson University, 1991.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Sociology
Segal, Mady W. Regular Member
B.A., City University of New York-Queens
College, 1965; M.A., University of
Chicago, 1967; Ph.D., 1973.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emerita, Sociology
- Affiliate Professor, Women's Studies
Seibel, Ronald J. Regular Member
B.S., University of lllinois-
Urbana/Champaign, 1957; M.S., 1958;
Ph.D. .University of Maryland-College
Park, 1972.
- Director, Institute of Applied Agriculture
- Associate Professor, Institute of Applied
Agriculture
Selden, Steven Regular Member
B.S., State University of New York-
College at Oswego, 1963; M.S., City
University of New York-Brooklyn College,
1967; M.A., Columbia University, 1970;
Ed.D., 1971.
- Professor, Education: Policy Studies
- Professor, Education: Policy and
Leadership
- Affiliate Professor, Jewish Studies
Sellner, Kevin Special Member
B.A. Clark University, 1971; M.S.
University of South Carolina, 1973; Ph.D.,
Dalhousie University, 1978
- Visiting Professor, Marine-Estuarine-
Environmental Sciences
Semler, Jeffrey W. Regular Member
B.S., West Virginia University, 1982;
M.S. .University of Connecticut-Storrs,
1984.
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service
Washington
Senbet, Lemma W. Regular Member
B.B.A.,Haile Selassi I University, 1970;
M.B.A., University of California-Los
Angeles, 1972; Ph.D., University of
Buffalo, 1975
- Professor, Business and Management
Sengers, Jan V. Regular Member
B.S., University of Amsterdam, 1952;
M.S., 1955; Ph.D., 1962; Ph.D., Delft
University of Technology, 1992.
- Distinguished University Professor
Emeritus, Engineering: Chemical
Engineering
- Distinguished University Professor
Emeritus, Mechanical Engineering
- Professor Emeritus, Institute for Physical
Sciences and Technology (IPST)
- Research Professor, Chemical Physics
- Affiliate Professor, Engineering:
Mechanical Engineering
Sengupta, Partha Regular Member
B.S., University of Calcutta, 1984; M.A.,
SUNY-Stony Brook, 1986; Ph.D., Virginia
Polytechnic Institute & State University,
1991; Ph.D. .University of Florida, 1995.
- Distinguished University Professor
Emeritus, Mechanical Engineering
Seo, Eun-Suk Adjunct Member
B.S., Korea University-Seoul, 1984; M.S.,
1986; Ph.D., Louisiana State University-
Baton Rouge, 1991.
- Professor, Chemical Physics
- Associate Professor, Physics
Seo, Myeong-Gu Regular Member
B.S., Yonsei University, 1988; M.A., 1990;
M.B.A., Boston College, 1996; Ph.D.,
2003.
- Assistant Professor, Business and
Management
Seog, Joonil Regular Member
B.S., Seoul National University, 1993;
M.S., Seoul National University, 1995;
Sc.D, Massachusetts Institute of
Technology.
- Assistant Professor, Engineering:
Materials Science and Engineering
- Assistant Professor, Engineering:
Bioengineering
- Assistant Professor, Biophysics
- Affiliate Assistant Professor,
Engineering: Chemical Engineering
Shachat, Maryellen Regular Member
B.S., Ohio State University-Columbus,
1964;M.S., West Virginia University, 1974;
B.S., Hood College, 1996.
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service Anne
Arundel
Shackel, Paul A. Regular Member
B.A., State University of New York-
Buffalo, 1981; M.A., 1984; Ph.D., 1987.
- Chair, Anthropology
- Director, Center for Heritage Resource
Studies (ANTH)
- Professor, Anthropology
- Affiliate Associate Professor, American
Studies
Shaffer, Brian C. Regular Member
B.A., Indiana University-Bloomington,
1983 M.B.A., University of California-
Berkeley, 1988; Ph.D., 1992.
- Assistant Professor, Career Center
- Lecturer, Business and Management
Shah, Sameer Regular Member
Ph.D., University of California, San Diego,
2002; M.S., University of California, La
Jolla,1999; B.S., Massachusetts Institute
of Technology, 1997.
- Assistant Professor, Neuroscience and
Cognitive Science
- Assistant Professor, Biological Sciences
-Assistant Professor, Engineering:
Bioengineering
Shah, Yasmeen Regular Member
B.S., All India Institute of Speech and
Hearing, 1995; M.S., All India Institute of
Speech and Hearing, 1997; Ph.D.,
Northwestern University, 2004.
- Assistant Professor, Neuroscience and
Cognitive Science
Shah, Yasmeen Faroqi Regular
Member
M.S., All India Institute of Speech and
Hearing, 1997; Ph.D., Northwestern
University, 2004
- Assistant Professor, Hearing and
Speech Sciences
Sham, Foon V. Regular Member
B.F.A., California College of Arts and
Crafts, 1978; M.F.A., Virginia
Commonwealth University, 1981.
- Associate Professor, Art Studio
Shamma, Shihab Regular Member
B.S., Imperial College, 1976;
M.S. .Stanford University, 1977; M.A.,
1980; Ph.D., 1980.
- Professor, Systems Research, Institute
for
- Professor, Engineering: Electrical &
Computer Engineering
- Professor, Engineering: Systems
Engineering
- Professor, Neuroscience and Cognitive
Science
-Affiliate Professor, Engineering:
Bioengineering
Shamma, Shihab A. Regular
Member
B.Sc, Imperial College, London, U.K.,
1976; M.A., Stanford University, 1980;
M.S., Stanford University, 1977; Ph.D.,
Stanford University, 1980
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Shankar, A.Udaya Regular Member
B.Tech, Indian Institute of Technology-
Kanpur, 1976; M.S., Syracuse University,
1978;Ph.D., University of Texas-Austin,
1982.
- Professor, Advanced Computer Studies,
Institute for
- Professor, Computer Science
Shannon, Joshua Regular Member
B.A., Columbia University, 1994; M.A.,
University of California, Berkeley, 1998;
Ph.D., University of California, Berkeley,
2003.
- Associate Professor, Art History and
Archaeology
Shapiro, Benjamin Regular Member
B.S., Georgia Institute of Technology,
1995; Ph.D., California Institute of
Technology, 1999.
-Associate Professor, Engineering:
Bioengineering
-Associate Professor, Engineering:
Systems Engineering
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Affiliate Associate Professor,
Engineering: Materials Science and
Engineering
Shapiro, Debra L. Regular Member
B.A., University of Maryland-College Park,
1982; M.S., Northwestern University,
1983; Ph.D., 1986.
- Professor, Business and Management
592
Sharp, Katherine E. Regular
Member
B.S., University of Maryland, 1990; MPH,
Emory University, 1996; Ph.D., Kent State
University, 2005.
- Instructor, Public Health: Master of
Public Health-Community Health
Education
- Instructor, Public Health: Behavioral and
Community Health Ph.D.
Shawhan, Peter S. Regular Member
A.B., Washington University in St. Louis,
1990; M.S., University of Chicago, 1992;
Ph.D., University of Chicago, 1999;
- Assistant Professor, Physics
Shayman, Mark A. Regular Member
B.A., Yale University, 1975;S.M., Harvard
University, 1977; Ph.D., 1981.
- Professor, Engineering: Electrical &
Computer Engineering
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Shea, John Regular Member
B.A., Northwestern University, 1986;
Ph.D. .Massachusetts Institute of
Technology, 1990.
- Associate Professor, Economics
Shearn, Elizabeth Adjunct Member
B.S., St. Francis College, 1961; M.A.,
Western Michigan University, 1970; Ph.D.,
University of Maryland-College Park,
1982.
- Counselor, Counseling Center
Shekhar, Raj Adjunct Member
B. Tech., Electrical Engineering, NT,
Kanpur; M.S., Bioengineering, Arizona
State University, 1991; Ph.D. Biomedical
Engineering, The Ohio State University,
1997.
- Adjunct Associate Professor,
Engineering: Bioengineering
Shenassa, Edmond D. Regular
Member
B.A., University of California-Los Angeles,
1986; M.A., 1990; Sc.D., Harvard
University, 2000.
- Associate Professor, Family Science
- Associate Professor, Public Health:
Maternal and Child Health Ph.D.
- Affiliate Associate Professor,
Epidemiology and Biostatisics
Sherman, Lawrence W. Adjunct
Member
B.A., Denison University, 1970; M.A.,
University of Chicago, 1970; Diploma in
Criminology, Cambridge University, 1973;
M.A., Yale University, 1974; Ph.D., 1976.
- Distinguished University Professor,
Criminology and Criminal Justice
Sherrard, Ann Carroll Regular
Member
B.S., University of Maryland-College Park,
1978; M.P.H., University of North
Carolina-Chapel Hill, 1985.
- Agent, Extension Service Garrett
Shi, Meiqing Regular Member
D.V.M., Hunan Agricultural University,
China, 1985; M.S., South China
Agricultural University, 1988; Ph.D.,
Justus Liebig University of Giessen,
Germany, 2000. Dr. Shi's research
focuses on dynamic interactions of
leukocytes with cryptococci during and
after hematogenous dissemination.
- Assistant Professor, Veterinary Medical
Sciences
Shih, Tien-Mo Regular Member
B.S., National Taiwan University, 1970;
M.S., University of Southern California-
Los Angeles, 1973; Ph.D. .University of
California-Berkeley, 1977.
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Visiting Associate Professor,
Engineering: Mechanical Engineering
Shim, Jae Kun Regular Member
B.S., Kyung Hee University, 1999; M.S.,
Ball State University, 2002; Ph.D.,
Pennsylvania State University, 2005.
- Assistant Professor, Kinesiology
- Assistant Professor, Neuroscience and
Cognitive Science
- Affiliate Assistant Professor,
Engineering: Bioengineering
Shinagawa, Larry Hajime Regular
Member
B.A., University of California-Berkeley,
1983; M.A. University of California-
Berkeley, 1986; Ph.D., University of
California-Berkeley, 1994
- Associate Professor, American Studies
Shirey, Steven Special Member
A.B., Dartmouth College, 1972; M. Sc,
University of Massachusetts, 1975; Ph.D.,
SUNY Stony Brook, 1984.
- DEFAULT, Geology
Shirmohammadi, Adel Regular
Member
B.S., University of Rezaeiyeh-lran, 1974;
M.S., University of Nebraska-Lincoln,
1977 Ph.D., North Carolina State
University, 1982.
- Professor, Enviromental Science and
Technology
- Professor, Engineering: Bioengineering
Shmueli, Galit Regular Member
B.A., Haifa University, Israel, 1994; M.Sc,
Technion, Israel, 1997; Ph.D., Technion,
Israel, 2000
- Assistant Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Assistant Professor, Business and
Management
Shneiderman, Ben A. Regular
Member
B.S.,City University of New York-City
College, 1968; M.S., State University of
New York-Stony Brook, 1972; Ph.D.,
1973; S.C.D., University of Guelph-
Ontario, 1995.
- Professor, Computer Science
- Professor, Advanced Computer Studies,
Institute for
- Professor, Systems Research, Institute
for
- Affiliate Professor, American Studies
Shrewsbury, Paula Regular Member
B.S., University of Rhode Island, 1985;
M.S., University of California-Riverside,
1991; Ph.D., University of Maryland-
College Park, 1996.
- Associate Professor, Biological
Sciences
- Associate Professor, Entomology
Shultz, Jeffrey Regular Member
B.S., Michigan State University, 1982;
M.S., Ohio University-Athens, 1985; Ph.D.,
Ohio State University-Columbus, 1990.
- Associate Professor, Entomology
- Associate Professor, Biological
Sciences
Sicilia, David B. Regular Member
B.A., Hofstra University, 1976; Ph.D.,
Brandeis University, 1991.
- Associate Professor, History
- Affiliate Associate Professor, American
Studies
Siddoway, Christine Special
Member
B.A., Carleton College, 1984; M.S.,
University of Arizona, 1989; Ph.D.,
University of California-Santa Barbara,
1995.
- DEFAULT, Geology
Sies, Mary C. Regular Member
A.B., Michigan State University, 1974;
A.M. .University of Michigan-Ann Arbor,
1977; Ph.D., 1987.
- Associate Professor, American Studies
- Affiliate Associate Professor, Women's
Studies
Siewerdt, Frank Regular Member
M.Sc, Universidade Federal de Pelotas
(Brazil), 1991; Ph.D., North Carolina State
University, 1998
- Assistant Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Assistant Professor, Animal Sciences
Sigall, Harold Regular Member
B.S., City University of New York-City
College, 1964; Ph.D., University of Texas-
Austin, 1968.
- Professor Emeritus, Psychology
Silio, Charles B., Jr. Regular
Member
B.S.E.E., University of Notre Dame, 1 965;
M.S., 1967; Ph.D., 1970.
-Associate Professor, Engineering:
Electrical & Computer Engineering
Silva, Julie Regular Member
B.A., UCLA, 1995; M.C.R.P., Rutgers,
2000; PhD, Rutgers, 2005.
- Assistant Professor, Geography
Silverman, Joseph Regular Member
B.A., City University of New York-Brooklyn
College, 1944; M.A., Columbia University,
1948; Ph.D., 1951.
- Professor Emeritus, Engineering:
Materials Science and Engineering
Silverman, Rebecca Regular
Member
Position: Assistant Professor CV: B.A.,
George Washington University, 1998;
Ed.M. Harvard University, 2001; Ed.D.
Harvard University, 2005.
-Assistant Professor, Education: Special
Education
- Affiliate Assistant Professor, Education:
Curriculum and Instruction
Simms, James W. Regular Member
B.S., West Virginia University, 1 963; M.S.,
1971.
- Acting Director, Extension Service
Garrett
- Principal Agent, Extension Service
Garrett
- Principal Agent, Cooperative Extension
Service
Simon, Anne E. Regular Member
B.A., University of California-San Diego,
1978; Ph.D., Indiana University-
Bloomington, 1982.
- Professor, Biological Sciences
Simon, Jonathan Regular Member
BA: Princeton University, 1985; MS:
University of California, Santa Barbara,
1987; Ph.D.: University of California,
Santa Barbara, 1 990
- Associate Professor, Biological
Sciences
-Associate Professor, Engineering:
593
Electrical & Computer Engineering
- Associate Professor, Neuroscience and
Cognitive Science
- Affiliate Assistant Professor,
Engineering: Bioengineehng
Simon, Madlen Regular Member
- Associate Professor, Urban and
Regional Planning and Design
Simon, Madlen G. Regular Member
A.B. Princeton University, 1974; M.Arch.
Princeton University, 1977.
- Director, Architecture
- Associate Professor, Architecture
Simon-Rusinowitz, Lori Regular
Member
B.S.-DH, University of Michigan-Ann
Arbor, 1975; M.P.H., 1978; M.A.,
Whitworth College, 1985; Ph.D.,
University of Illinois-Chicago, 1987.
- Associate Professor, Public Health:
Health Services Ph.D.
- Associate Professor, Public Health:
Master of Health Administration
- Project Manager, Aging, Center on
Simpson, Sally S. Regular Member
B.S., Oregon State University, 1976; M.A.,
Washington State University, 1978; Ph.D.,
University of Massachusetts-Amherst,
1985.
- Chair, Criminology and Criminal Justice
- Professor, Criminology and Criminal
Justice
- Affiliate Associate Professor, American
Studies
Simpson, Thomas W. Regular
Member
B.S.,Virginia Polytechnic Institute & State
University, 1971; M.S., Pennsylvania
State University, 1975; Ph.D., 1978.
- Professor, Cooperative Extension
Service
- Professor, Special Agriculture Programs
Sims, Henry P., Jr. Regular Member
B.S., Purdue University, 1961; M.B.A.,
University of Detroit/Mercy, 1967;
Ph.D. .Michigan State University, 1971.
- Professor, Business and Management
Simson, Sharon Adjunct Member
M.H.A., St. Joseph's University; Ph.D.
University of Pennsylvania.
- Research Associate Professor, Public
Health: Master of Health Administration
Singpurwalla, Rachel Regular
Member
B.A., George Washington University,
1993; Ph.D., University of Colorado at
Boulder, 2002.
- Assistant Professor, Philosophy
Sintim, Herman Regular Member
B.S., University of London, 1999; PhD,
University of Oxford, 2002.
- Assistant Professor, Chemistry
- Assistant Professor, Biochemistry
Sircar, Jayanta K. Regular Member
B.S., Indian Institute of Technology-
Kharagpur, 1968; M.S., 1970;
M.S. .University of Maryland-College Park,
1983; Ph.D., 1986.
- Director, A. James Clark School of
Engineering
Sita, Lawrence R. Regular Member
B.S., Carnegie-Mellon University, 1981;
Ph.D., Massachusetts Institute of
Technology, 1985.
- Professor, Chemical Physics
- Professor, Chemistry
- Affiliate Professor, Engineering:
Materials Science and Engineering
Skibniewski, Miroslaw J. Regular
Member
M.Eng., Politechnika Warszawski, 1981;
M.S. Carnegie-Mellon University, 1983;
Ph.D. Carnegie-Mellon University, 1986.
- Professor, Engineering: Civil and
Environmental Engineering
Skinker, Kathleen J. Adjunct
Member
B.S. University of Minnesota, 1979; M.A.
University of Kansas, 1983
- Lecturer, Hearing and Speech Sciences
- Lecturer, Clinical Audiology
Skuja, Andris Regular Member
B.Sc, University of Toronto, 1966; Ph.D.,
University of California-Berkeley, 1972.
- Professor, Physics
Slater, Wayne H. Regular Member
B.S., University of Minnesota-Duluth,
1967; M.A., 1972; Ph.D., University of
Minnesota-Minneapolis, 1982.
- Associate Professor, Education:
Curriculum and Instruction
Slaughter, Leon H. Regular Member
B.S., Howard University, 1973; M.S.,
1975; Ph.D., University of Maryland-
College Park, 1987.
- Acting Associate Dean, Academic Affairs
- Director, College of Agriculture and
Natural Resources
- Associate Professor, Plant Science
- Agent, College of Agriculture and Natural
Resources
Sieve, Robert Regular Member
B.A., University of Colorado-Boulder,
1999; Ph.D., University of California-San
Diego, 2008.
- Assistant Professor, Psychology
- Assistant Professor, Neuroscience and
Cognitive Science
Sloan, Rita Regular Member
B.S., Juilliard School of Music, 1 969;
M.Mus., 1971.
- Professor, Music
Slud, Eric Victor Regular Member
B.A., Harvard University, 1972; Ph.D.,
Massachusetts Institute of Technology,
1976.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Mathematics
- Professor, Mathematical Statistics
Smela, Elisabeth Regular Member
B.S., University of Pennsylvania, 1985;
M.S., 1987; Ph.D., 1992.
- Professor, Engineering: Mechanical
Engineering
- Affiliate Associate Professor,
Engineering: Bioengineehng
- Affiliate Associate Professor,
Engineering: Electrical & Computer
Engineering
- Affiliate Associate Professor,
Engineering: Materials Science and
Engineering
Smidts, Carol S. Regular Member
B.S.,Universite Libre de Bruxelles, 1994
- Assistant Professor, Materials and
Nuclear Engineering
Smith, Barry D. Regular Member
B.S., Pennsylvania State University-
University Park,1962; M.A., Bucknell
University, 1964; Ph.D. .University of
Massachusetts-Amherst, 1967.
- Professor, Psychology
Smith, Gregory C. Regular Member
B.A., State University of New York-
College at Brockport, 1973 M.A., Villanova
University, 1979; Ed.D., University of
Rochester, 1983.
- Affiliate Associate Professor, Aging,
Center on
Smith, J. Carson Regular Member
B.S., Arizona State University, 1993;
Ph.D., University of Georgia, 2000
- Assistant Professor, Kinesiology
Smith, Kenneth G. Regular Member
B.S., University of Rhode Island, 1970;
M.B.A., 1972; Ph.D., University of
Washington, 1983.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Business and Management
Smith, M.F. Regular Member
B.S., Mississippi State University, 1964;
M.Ed., University of Florida, 1967; Ph.D.,
University of Maryland, 1978.
- Professor, Cooperative Extension
Service
Smith, Martha Nell Regular Member
B.A., Livingston College-Rutgers State
University, 1977; M.A., Rutgers State
University, 1982; Ph.D., 1985.
- Professor, English Language and
Literature
- Affiliate Professor, American Studies
- Affiliate Professor, Women's Studies
Smith, Paul J. Regular Member
B.S., Drexel Institute of Technology,
1965;M.S., Case Western Reserve
University, 1967; Ph.D., 1969.
- Associate Dean, Mathematical Statistics
- Associate Professor, Mathematical
Statistics
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Associate Professor, Mathematics
Smith, Theodore G. Regular
Member
B.E.S., Johns Hopkins University,1956;
M.E.S., 1958; D.Sc, Washington
University, 1960.
- Professor Emeritus, Engineering:
Chemical Engineering
Soares, Daphne Regular Member
B.S., UMBO 1996; Ph.D., UMCP, 2002.
- Assistant Professor, Neuroscience and
Cognitive Science
- Assistant Professor, Biological Sciences
Soergel, Dagobert Regular Member
B.S., University of Freiburg, 1960; M.S.,
1964; Ph.D., 1967.
- Professor Emeritus, Information Studies
- Professor Emeritus, Library Science
Soergel, Philip Regular Member
Ph.D., University of Michigan, 1988.
- Associate Professor, History
Solares, Santiago Regular Member
1993 B.S., chemical engineering,
University del Valle (Guatemala) 1995
licensed engineer, chemical engineering,
University del Valle (Guatemala)2006
Ph.D., chemical engineering, California
Institute of Technology
-Assistant Professor, Engineering:
Mechanical Engineering
- Affiliate Assistant Professor,
Engineering: Bioengineehng
Solomon, George Adjunct Member
B.S., University of Florida
- Professor of Practice, Journalism
594
Solomon, Morse B. Adjunct Member
B.S., University of Connecticut, 1977;
M.S., University of Kentucky, 1979;
Ph.D. .University of Florida, 1983.
- Research Leader, Meat Science
Research Laboratory, USDA
Solomon, Nancy Adjunct Member
B.A., University of Maryland, 1982; M.A.,
University of Maryland, 1984; Ph.D.,
University of Arizona, 1991.
- Adjunct Professor, Hearing and Speech
Sciences
Solomos, Theophanes Regular
Member
B.S., Athens College of Agriculture
(Greece), 1956; M.S., 1957; Ph.D.,
Cambridge University (England), 1963.
- Professor Emeritus, Food Science
Soltan, Karol E. Regular Member
A.B., Harvard University, 1972;M.A.,
University of Chicago, 1978; M.A., 1981;
Ph.D., 1982.
- Associate Professor, Government and
Politics
Somaya, Deepak Regular Member
B.Mech.E., Indian Institute of Technology-
Bombay, 1990; M.B.A., Indian Institute of
Management, Calcutta, 1992; Ph.D.,
University of California-Berkeley, 2002.
- Assistant Professor, Business and
Management
Song, Jiuzhou Regular Member
B.A., Northwestern Agri.U,
1 983, MSc, Northwestern Agri.U, 1986,
Ph.D., China Agri. University, 1996.
- Assistant Professor, Nutrition
Song, Jiuzhou Regular Member
Ph.D.; China Agr. University, 1996 M.Sc;
Northwestern Agri. Univ, 1986 B.A.;
Northwestern Agr.Univ, 1983
- Assistant Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Assistant Professor, Animal Sciences
- Assistant Professor, Biological Sciences
- Affiliate Professor, Biological Sciences
Song, Wenxia Regular Member
M.S.,Academia Sinica-lnstitute of
Biophysics, Beijing-China, 1986; Ph.D.,
Kansas State University, 1 991 .
- Associate Professor, Biological
Sciences
Songsasen, Nucharin Adjunct
Member
D.V.M., Kasetsart University, 1988; M.S.,
University of Guelph, 1993; Ph.D.,
University of Guelph, 1997.
- DEFAULT, Animal Sciences
Sonies, Barbara Regular Member
B.S., University of Minnesota, 1 961 ; M.A.,
Stanford University, 1963; Ph.D.,
University of Maryland, 1981.
- Adjunct Professor, Hearing and Speech
Sciences
Sorenson, Sorena Adjunct Member
B.A., Pomona College, 1978; Ph.D.,
University of California-Los Angeles,
1984.
- Adjunct Professor, Geology
Sosnowski, Saul Regular Member
B.A., University of Scranton, 1967;
M.A., University of Virginia, 1968; Ph.D.,
1970.
- Director, International Programs
- Professor, International Programs
- Professor, Spanish and Portuguese
Languages and Literatures
Souza, Gilvan Regular Member
M.B.A., Clemson University, 1995; Ph.D.,
University of North Carolina-Chapel Hill,
2000
- Assistant Professor, Business and
Management
Sowers, Kevin R. Regular Member
B.A., SUNY-Buffalo, 1976; M.A., 1979;
Ph.D., Virginia Polytechnic Institute &
State University, 1 984.
- Professor, Marine-Estuarine-
Environmental Sciences
Spangenburg, Espen Regular
Member
B.S., Virginia Polytechnic Institute and
State University, 1995; M.S., Virginia
Polytechnic Institute and State University,
1997; Ph.D., Virginia Polytechnic Institute
and State University, 2000.
- Assistant Professor, Kinesiology
Sparks, L. Richmond Regular
Member
B.Mus., Illinois State University, 1977;
M.Mus., Arizona State University, 1983;
D.M.A., 1990.
- Associate Professor, Music
Speece, Deborah L. Regular
Member
B.S., Bowling Green State University,
1974;M.Ed., 1978; Ph.D., University of
North Carolina-Chapel Hill, 1984.
- Professor, Education: Special Education
Spiro, Marie Regular Member
B.A., Wilson College, 1955; M.A., New
York University-Institute of Fine Arts,
1961; Ph.D., New York University-Institute
of Fine Arts, 1975.
- Associate Professor Emerita, Art History
and Archaeology
Spivak, Steven M. Regular Member
B.S., Philadelphia University, 1963; M.S.,
Georgia Institute of Technology, 1965;
Ph.D. .University of Manchester, 1967.
- Professor Emeritus, Engineering: Fire
Protection Engineering
Splaine, John E. Regular Member
B.A., University of New Hampshire-
Durham, 1963; M.A., 1965; Ed.D., Boston
University, 1973.
- Professor Emeritus, Education: Policy
Studies
- Associate Professor Emeritus,
Education: Policy and Leadership
Spreen, Carol Anne Regular
Member
B.A., American University, 1989; M.Ed.,
University of Illinois, 1992; M.Phil.,
Teachers College, Columbia University,
1997; .Ph.D., Teachers College, Columbia
University, 2000.
- Assistant Professor, Education: Policy
and Leadership
Spring, Neil Regular Member
Ph.D., University of Washington, 2004
- Assistant Professor, Computer Science
- Assistant Professor, Institute for
Advanced Computer Studies (UMIACS)
Sreenivasan, Katepalli R. Regular
Member
B.E.(Mech. Eng.), Bangalore University,
1968; M.E.(Aero. Eng.) Indian Institute of
Science, 1970; Ph.D. (Aero. Eng.), Indian
Institute of Science, 1975; M.A., Yale
University, 1985.
- Distinguished University Professor,
Physics
Srikantaiah, Taverekere Regular
Member
B.S., Mysore University, India; M.S,
Karnatak University, India; M.I.S., M.P.A.,
University of Southern California; Ph.D.,
University of Southern California.
- Visiting Professor, Library Science
- Visiting Professor, Information
Management
Srinivasan, Aravind Regular
Member
Ph.D, Cornell University, 1993
- Professor, Computer Science
- Associate Professor, Institute for
Advanced Computer Studies (UMIACS)
Sriram, Ganesh Regular Member
B.Tech., Indian Institute of Technology,
1997; M. Tech., Indian Institute of
Technology, 1999; Ph.D., Iowa State
University, 2004.
-Assistant Professor, Engineering:
Chemical Engineering
- Affiliate Assistant Professor, Plant
Science
- Affiliate Assistant Professor,
Engineering: Bioengineering
Srivastava, Ankur Regular Member
B.EIect. E., NT-Delhi, 1998; MS,
Northwestern Univ. 2000; Ph.D., UCLA,
2002.
-Associate Professor, Engineering:
Electrical & Computer Engineering
-Associate Professor, Engineering:
Systems Engineering
Srivastava, Joydeep Regular
Member
B.S., University of Calcutta, 1989; Ph.D.,
University of Arizona, 1996.
-Assistant Professor, Business and
Management
St.Leger, Raymond Regular Member
B.S., University of Exeter, 1978; M.S.,
University of London, 1980; Ph.D.,
England, 1987.
- Distinguished Scholar-Teacher,
Entomology
- Professor, Entomology
- Professor, Biological Sciences
Stairs, Allen Regular Member
B.A., University of New Brunswick-
Fredericton, 1973; M.A., University of
Western Ontario-London, 1975; Ph.D.,
1978.
- Associate Chair, Philosophy
- Associate Professor, Philosophy
Staley, Gregory A. Regular Member
B.A., Dickinson College, 1970;
M.A., Princeton University, 1973; Ph.D.,
1975.
- Associate Professor, Classics
Stangor, Charles G. Regular
Member
B.A., Beloit College, 1973; M.A., New
York University, 1984; Ph.D., 1986.
- Associate Chair, Psychology
- Professor, Psychology
Stanley Presser Regular Member
A.B. Sociology, Brown (1971); Ph.D.,
Sociology, Michigan (1977)
- Assistant Director, Survey Methodology
Steel, Donald H. Regular Member
B.A., Trenton State College, 1955;
M.A., University of Maryland-College Park,
1957; Ph.D. .Louisiana State University-
Baton Rouge, 1 964.
- Professor Emeritus, Kinesiology
595
Steele, Robert E. Regular Member
B.A., Morehouse College, 1965; M.Div.,
Episcopal Divinity School, 1968;M.P.H.,
Yale University, 1971; M.S., 1974; Ph.D.,
1975.
- Associate Dean, College of Behavioral
and Social Sciences
- Associate Professor, College of
Behavioral and Social Sciences
Stehle, Eva Marie Regular Member
B.A., University of Pennsylvania, 1966;
Ph.D., University of Cincinnati, 1 971 .
- Professor, Classics
- Affiliate Professor, Women's Studies
Stehr, Jeffrey Adjunct Member
B.S., University of Michigan, 1989;Ph.D.,
University of Minnesota, 1995.
- Assistant Research Scientist,
Atmospheric and Oceanic Science
Stein, Daniel C. Regular Member
B.S., University of Notre Dame, 1977;
M.S., University of Rochester, 1 981 ;
Ph.D., 1981.
- Professor, Biological Sciences
Steinbruner, John D. Regular
Member
B.A., Stanford University, 1 963;
Ph.D. .Massachusetts Institute of
Technology, 1968.
- Director, Center for International and
Security Studies
- Professor, Center for International and
Security Studies
Steiner, Linda Regular Member
B.A., University of Pennsylvania, 1972;
Ph.D., University of Illinois, Urbana-
Champaign, 1979.
- Professor, Journalism
- Affiliate Professor, Women's Studies
Steinhilber, Patricia M. Adjunct
Member
B.A., State University of New York-Albany,
1969; M.S., New Mexico State University-
Las Cruces, 1977; Ph.D., University of
Georgia, 1981.
- Coordinator, Enviromental Science and
Technology
Steinman, Robert M. Regular
Member
D.D.S., St. Louis University, 1948; M.A.,
New School University, 1962; Ph.D.,
1964.
- Professor Emeritus, Psychology
Stephenson, Mary J. Regular
Member
B.S., University of Maryland-College Park,
1974; M.S., 1976; Ph.D., 1981.
- Associate Professor Emerita,
Cooperative Extension Service
Stepp, Carl Sessions Regular
Member
B.A., University of South Carolina-
Columbia, 1970; M.A., 1972.
- Professor, Journalism
Stern, James Regular Member
B.M., M.M., D.M.A., The Julliard School
- Associate Professor, Music
Sternberg, Yaron M. Regular
Member
B.S., University of lllinois-
Urbana/Champaign, 1961; M.S.,
University of California-Davis, 1963;
Ph.D., 1965.
- Professor Emeritus, Engineering: Civil
and Environmental Engineering
Sternheim, Charles E. Regular
Member
B.A., State University of New York-Albany,
1961; Ph.D., University of Rochester,
1967.
- Professor Emeritus, Psychology
Steve M. Barkin Regular Member
A.B., Washington University, 1967; M.J.,
Columbia University, 1968; Ph.D., Ohio
State University-Columbus, 1978.
- Associate Professor, College of
Journalism
- Affiliate Associate Professor, American
Studies
Steven G. Heeringa Regular
Member
1975 B.S. in Biometrics, Magna Cum
Laude, The University of Michigan, 1977
M.A. in Statistics, The University of
Michigan ,1999 Ph.D., Biostatistics, The
University of Michigan
- Research Scientist, Survey Methodology
Stevens, Cynthia K. Regular
Member
B.A., Western Washington University,
1982; M.A., Miami University, 1984;
Ph.D. .University of Washington, 1990.
- Associate Professor, Business and
Management
Stevenson, John C. Regular
Member
B.S., Brooklyn College, 1966; Ph.D.,
University of North Carolina-Chapel Hill,
1972. MEES
- Professor, Marine-Estuarine-
Environmental Sciences
Stewart, Gilbert W. Regular Member
A.B., University of Tennessee-Knoxville,
1962; Ph.D., 1968.
- Distinguished University Professor
Emeritus, Computer Science
- Professor Emerita, Advanced Computer
Studies, Institute for
Stewart, Greig M. Adjunct Member
B.A., University of Massachusetts-
Amherst,1973; M.A., University of
Maryland-College Park, 1979; A.G.S.,
1979; Ph.D. .American University, 1983.
- Associate Dean, College of Journalism
- Affiliate Assistant Professor, Education:
Counseling and Personnel Services
Stewart, Katherine Regular Member
B.S., University of Florida, 1992; Ph.D.,
University of Texas-Austin, 2000.
- Assistant Professor, Business and
Management
Stewart, Richard C. Regular
Member
B.S. .College of William & Mary-
Williamsburg, 1980; Ph.D., University of
Michigan-Ann Arbor, 1984.
- Associate Professor, Biological
Sciences
Stewart, Robert F. Regular Member
B.S., University of Maryland-College Park,
1973; M.S., 1984.
- Senior Agent, Extension Service Prince
Georges
- Senior Agent, Cooperative Extension
Service
Stieff, Mike Regular Member
B.A., Dickinson College, 1998; M.S.,
Northwestern University, 2000; Ph.D.,
Northwestern University, 2004
- Assistant Professor, Education:
Curriculum and Instruction
Stimpson, Richard Adjunct Member
B.A., State University of New York-
Geneseo, 1965; M.A., Michigan State
University, 1968; Ph.D., 1977.
- Assistant Vice President, Student Affairs
Stoecker, Diane B. Regular Member
B.S., University of New Hampshire-
Durham, 1969; M.S., University of
Hawaii, 1970; Ph.D., SUNY-Stony Brook,
1979.
- Professor, Marine-Estuarine-
Environmental Sciences
Stoliarav, Stanislav I. Regular
Member
B.S./M.S., Mendeleev University of
Chemical Technology, 1993; Ph.D., The
Catholic University of America, 2000.
-Assistant Professor, Engineering: Fire
Protection Engineering
Stoltzfus, Arlin Adjunct Member
B.A., Grinnell College, 1985; Ph.D.,
University of Iowa, 1 991 .
- Adjunct Professor, Biological Sciences
Stone, Clarence N. Regular Member
A.B., University of South Carolina-
Columbia, 1957; M.A., Duke University,
1960 Ph.D., 1963.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor Emeritus, Government and
Politics
Stone, Elaine Adjunct Member
B.S. .University of New Mexico, 1970;
M.S., 1972; M.P.H.,University of
California-Los Angeles, 1974; Ph.D.,
University of New Mexico, 1976.
- Health Science Administrator, Natl
Heart, Lung & Blood Inst NIH
Strand, Ivar E., Jr. Regular Member
B.A., University of Rochester, 1967; M.A.,
University of Rhode Island, 1972; Ph.D.,
1975.
- Professor Emeritus, Agricultural and
Resource Economics
Straney, David C. Regular Member
B.S., Brown University, 1982; M.S., Yale
University, 1984;Ph.D., 1987.
- Associate Professor, Plant Biology
- Associate Professor, Biological
Sciences
Straszheim, Mahlon R. Regular
Member
B.S., Purdue University, 1961; Ph.D.,
Harvard University, 1965.
- Professor, Economics
Strauch, Gabriele L. Regular
Member
B.A., Padagogische Hochschule des
Saarlandes, 1969; M.A., Southern Illinois
University-Carbondale, 1975;
Ph.D. .University of Wisconsin-Madison,
1984.
- Associate Dean, College of Arts and
Humanities
- Associate Professor, College of Arts and
Humanities
- Associate Professor, German Literature
and Language
- Affiliate Associate Professor, Jewish
Studies
- Affiliate Associate Professor, Women's
Studies
Strein, William O. Regular Member
B.S., Pennsylvania State University-
University Park, 1970; M.S., 1973; D.Ed.,
1979.
-Associate Professor, Education:
Counseling and Personnel Services
Stricklin, William R. Regular
Member
B.S. .University of Tennessee-Knoxville,
596
1968; M.S., 1972; Ph.D. .Pennsylvania
State University-University Park, 1975.
- Associate Professor, Animal Sciences
- Associate Professor, Neuroscience and
Cognitive Science
Striffler, Charles D. Regular Member
B.S.E., University of Michigan-Ann Arbor,
1961;M.S.E„ 1963; Ph.D., 1972.
- Professor Emeritus, Engineering:
Electrical & Computer Engineering
Strom, Justin Regular Member
B.F.A, Columbia College, 1998; M.F.A.,
The University of Wisconsin-Madison,
2003. Assistant Professor
- Assistant Professor, Art Studio
- DEFAULT, Art Studio
Stromquist, Nelly Regular Member
B.A., Monterey Institute of Foreign
Studies, 1966; M.A., Monterey Institute of
Foreign Studies, 1968; Ph.D., Stanford
University, 1975.
- Professor, Higher Education and
International Education
- Affiliate Professor, Women's Studies
- Affiliate Professor, International
Educational Services
Struna, Nancy L. Regular Member
B.S., University of Wisconsin-Madison,
1972; M.A., University of Maryland-
College Park, 1975; Ph.D., 1979.
- Chair, American Studies
- Professor, American Studies
- Affiliate Professor, Women's Studies
Stuart, Judith A. Regular Member
B.S., West Virginia University, 1970; M.S.,
1976.
- Acting Director, Extension Service
Carroll
- Principal Agent, Extension Service
Carroll
- Principal Agent, Cooperative Extension
Service
Stuart, William T. Regular Member
B.A., George Washington University,
1961; Ph.D., University of Oregon, 1971.
- Assistant Professor, Anthropology
Studer-Ellis, Erich M. Regular
Member
A.A., Sinclair Community College, 1981 ;
B.S., Indiana University-Bloomington,
1983; M.B.A., Indiana University-
Bloomington, 1986; Ph.D., Duke
University, 1996
- Lecturer, Business and Management
Stylinski, Cathlyn D. Regular
Member
B.S., San Diego State University, 1987;
M.S., San Diego State University, 1994;
Ph.D., University of California, Davis,
2000.
- Senior Agent, Marine-Estuarine-
Environmental Sciences
Suarez, Jose G. Regular Member
B.S., 1984, M.A., 1987, Ph.D., 1992, Inter
Amer Univ-Puerto Rico-San Juan.
- Lecturer, Business and Management
Subrahmanian,
Venkatramana Regular Member
M.ScBirla Institute of Technology &
Science, 1985; M.S., Syracuse University,
1987 Ph.D., 1989.
- Professor, Advanced Computer Studies,
Institute for
- Professor, Computer Science
Subramaniam, Mega M. Regular
Member
- Assistant Professor, Library Science
- Assistant Professor, Information Studies
- Assistant Professor, Human-Computer
Interaction
Sucher, Joseph Regular Member
B.S.,City University of New York-Brooklyn
College, 1952; Ph.D., Columbia
University, 1957.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emeritus, Physics
Sukharev, Sergei I. Regular Member
M.S., Russia, 1980; Ph.D., M.V.
Lomonosov Moscow State University,
1987.
- Associate Director, Biophysics
- Professor, Biophysics
- Professor, Biological Sciences
- Affiliate Professor, Engineering:
Bioengineering
Sullivan, Denis F. Regular Member
A.B., Tufts University, 1966; M.S.,
Catholic University of America, 1 972;
Ph.D., University of North Carolina-Chapel
Hill, 1975.
- Professor, Education: Curriculum and
Instruction
Sullivan, Gregory W. Regular
Member
B.S., Southern Illinois University-
Carbondale, 1983; M.S., 1985; M.S.,
University of Illinois-Urbana/Champaign,
1986; Ph.D., 1990.
- Associate Chair, Physics
- Professor, Physics
Sullivan, John B. (Jack) Regular
Member
B.F.A., Ohio State University-Columbus,
1975; M.L.A., University of Virginia, 1980.
- Associate Professor, Plant Science
- Associate Professor, Career Center
- Associate Professor, Landscape
Architecture
- Affiliate Associate Professor, American
Studies
Sullivan, Joseph H. Regular
Member
B.A., Erskine College, 1978; M.S.,
Western Carolina University, 1980; Ph.D.,
Clemson University, 1985.
- Professor, Plant Science
Sumida, Jon T. Regular Member
B.A., University of California-Santa Cruz,
1971; M.A., University of Chicago, 1974;
Ph.D., 1982.
- Associate Professor, History
Sunderland, Peter B. Regular
Member
B.S., Cornell University. 1983; M.S.,
University of Massachusetts, Amherst,
1986; M.S., University of Massachusetts,
Ann Arbor, 1995; Ph.D., University of
Michigan, Ann Arbor, 1 995.
- Associate Professor, Engineering: Fire
Protection Engineering
- Affiliate Professor, Engineering:
Mechanical Engineering
Sunshine, Jessica M. Regular
Member
B.S., Brown University, 1988; M.S., Brown
University, 1989; Ph.D., Brown University,
1993.
- Professor, Astronomy
Suppe, Frederick Regular Member
A.B., University of California-Riverside,
1962; M.A., University of Michigan, 1964;
Ph.D., 1967.
- Distinguished Scholar-Teacher,
Distinguished Faculty
Suriano, Mathew Regular Member
- Assistant Professor, Jewish Studies
Sussman, Alan Regular Member
Ph.D., Carnegie Mellon University, 1991
- Associate Professor, Computer Science
Sutherland, Donald M. G. Regular
Member
B.A., Carleton University-Ottawa, 1965;
M.A., University of Sussex, 1967; Ph.D.,
University of London, 1974.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor, History
Suzuki, Yui Regular Member
B.A., Sophia University, 1989; M.A., 1994;
Ph.D., University of California 2005.
- Assistant Professor, Art History and
Archaeology
Swistak, Piotr T. Regular Member
M.S., University of Warsaw, 1978 M.A.,
1979; M.A., University of Chicago, 1985;
Ph.D., 1987.
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
- Associate Professor, Government and
Politics
Sze, Heven Regular Member
B.S., National Taiwan University, 1968;
M.S., University of California-Davis,
1970;Ph.D., Purdue University, 1975.
- Professor, Plant Biology
- Professor, Biological Sciences
- Affiliate Professor, Plant Science
Szunyogh, Istvan Regular Member
Ph.D., Budapest, Hungary, 1994
- Associate Research Scientist, Applied
Mathematics & Statistics, and Scientific
Computation
Szymanski, Edna M. Regular
Member
B.S., Rensselaer Polytechnic Institute,
1972; M.S., University of Scranton, 1974;
Ph.D., University of Texas-Austin, 1988.
- Dean, College of Education
- Professor, College of Education
Tablante, Nathaniel L. Regular
Member
D.V.M., University of the Philippines, 1976;
M. P. V.M., University of California Davis,
1985; M.S., University of Guelph, 1995.
Dr. Tablante's areas of expertise are in
poultry medicine, epidemiology, and
poultry health management, including
biosecurity and emergency
preparedness.
- Associate Professor, Veterinary Medical
Sciences
Tabler, Daniel H. Regular Member
B.A., Shepherd College, 1971; M.A., West
Virginia University, 1980.
- Acting Director, Extension Service
Wicomico
- Senior Agent, Extension Service
Wicomico
- Senior Agent, Cooperative Extension
Service
Taddeo, Julie Anne Adjunct Member
PhD, University of Rochester, 1997
- Visiting Assistant Professor, History
Tadmor, Eitan Regular Member
B.Sc, Tel-Aviv University, 1973; M.Sc,
Tel-Aviv University, 1975; Ph.D., Tel-Aviv
University, 1979.
597
- Distinguished University Professor,
Center for Scientific Computation and
Mathematical Modeling
- Distinguished University Professor,
Mathematics
- Distinguished University Professor,
Applied Mathematics & Statistics, and
Scientific Computation
Takacs, Jacqueline Urban Regular
Member
B.S., University of Maryland-College Park,
1991; M.S., 1995.
- Agent, Sea Grant Extension
- Agent, Cooperative Extension Service
Takeuchi, Ichiro Regular Member
B.S.,California Institute of Technology,
1987; Ph.D., University of Maryland-
College Park, 1996.
- Professor, Engineering: Materials
Science and Engineering
- Affiliate Associate Professor, Physics
Tamboli, Prabhakar Regular
Member
B.S., University of Agra-India, 1950; M.S.,
Indian Agricultural Research Institute,
1952; Ph.D., Iowa State University, 1961.
- Adjunct Professor, Enviromental Science
and Technology
Tamburri, Mario Regular Member
B.A., University of California Santa
Barbara, 1989; M.S., University of
Alabama, 1 991 ; Ph.D., University of South
Carolina, 1996.
- Research Associate Professor, Marine-
Estuarine-Environmental Sciences
Tamvakis, Harry Regular Member
B.A., University of Athens, 1 990; S.M.,
University of Chicago, 1991 ; Ph.D.,
University of Chicago, 1997.
- Professor, Mathematics
Taneyhill, Lisa Regular Member
B.A., Western Maryland College
(McDaniel College), 1995; M.S., Princeton
University, 1997; Ph.D., Princeton
University, 2000.
- Assistant Professor, Animal Sciences
- Assistant Professor, Neuroscience and
Cognitive Science
- Assistant Professor, Biological Sciences
Tao, Yang Regular Member
B.S., Nanjing Institute of Technology,
1982; M.S., University of Nebraska-
Lincoln, 1988; Ph.D., Pennsylvania State
University-University Park, 1991.
- Professor, Engineering: Bioengineering
Tara Rodgers Regular Member
Ph.D.,McGill University, 201 1 ; MFA, Mills
College, 2006; B.A., Brown University,
1995.
- DEFAULT, Women's Studies
Tardie, Peter Regular Member
Ph.D., University of New Hampshire,
2010
- DEFAULT, Geospatial Information
Sciences
Tassiulas, Leandros Regular
Member
B.S., University of Thessaloniki, 1987;
M.S. .University of Maryland-College Park,
1989; Ph.D., 1992.
- Associate Professor, Systems Research,
Institute for
Taxman, Faye Adjunct Member
B.A., University of Tulsa, 1977;M.A.,
Rutgers University-Newark, 1981; Ph.D.,
1982.
- Director, Governmental Research,
Bureau of
Taylor, Leonard S. Regular Member
B.A.: Harvard University, 1951; M.S.: New
Mexico State Univ.-Las Cruces, 1956;
Ph.D.:New Mexico State Univ.-Las
Cruces, 1960
- Professor Emeritus, Engineering:
Electrical & Computer Engineering
Taylor, M. Susan Regular Member
B.A., University of Southern Alabama,
1973; M.S., Iowa State University, 1975;
Ph.D., Purdue University, 1978.
- Professor, Business and Management
Teasdale, John R. Special Member
B.S. Univ, of Washington, 1973; M.S.
Washington State Univ., 1975; Ph.D. Univ.
of Wisconsin, 1978.
- DEFAULT, Enviromental Science and
Technology
Teffeau, Kenneth M. Regular
Member
B.S., University of Maryland-College Park,
1973; M.S., 1981.
- Principal Agent, Cooperative Extension
Service
- Principal Agent, CES - Wye Resource
and Education Center
Teglasi-Golubcow,
Hedwig Regular Member
B.A., Douglass College, 1969; M.A.,
Temple University, 1971; Ph.D.,Hofstra
University, 1975.
- Professor, Education: Counseling and
Personnel Services
Telhami, Shibley Regular Member
B.A.,City University of New York-Queens
College, 1974; M.A.,Graduate Theological
Union, 1978; Ph.D., University of
California-Berkeley,1 986.
- Professor, Government and Politics
Tengel, Patricia M. Regular Member
B.S. .Bowling Green State University,
1961; M.S., Cornell University, 1964;
Ph.D., Pennsylvania State University-
University Park, 1976.
- Associate Professor Emerita,
Cooperative Extension Service
Terchek, Ronald J. Regular Member
B.A., University of Chicago, 1958; M.A.,
1960; Ph.D., University of Maryland-
College Park, 1965.
- Professor Emeritus, Government and
Politics
Terlizzi, Daniel E. Regular Member
B.S., St. Mary's College of Maryland,
1973; M.S., University of Maryland-
College Park, 1977; Ph.D., 1981.
- Principal Agent, Sea Grant Extension
- Principal Agent, Cooperative Extension
Service
Tesluk, Paul E. Regular Member
B.S., Cornell University, 1991; M.S.,
Pennsylvania State University-University
Park, 1994; Ph.D., 1996.
- Area Chair, Business and Management
Thirumalai, Devarajan Regular
Member
M.S., Indian Institute of Technology-
Kanpur, 1977; Ph.D. .University of
Minnesota-Twin Cities, 1982.
- Distinguished University Professor,
Chemical Physics
- Distinguished University Professor,
Biophysics
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Biophysics
Thomas, Damion Regular Member
B.A., University of California-Los Angeles,
1996; M.A., University of California-Los
Angeles, 1999; Ph.D., University of
California-Los Angeles
- Assistant Professor, Kinesiology
Thomas, William L., Jr. Adjunct
Member
B.S., University of Tennessee-Knoxville,
1955 M.S., 1965; Ph.D., Michigan State
University, 1970.
- Vice President, Student Affairs
Thompson, Estina E. Regular
Member
B.A., Yale University, 1990;
M. P. H., University of Michigan-Ann Arbor,
1995; Ph.D., 1997.
- Affiliate Associate Professor, Aging,
Center on
Thompson, Katerina Adjunct
Member
B.S., Virginia Polytechnic Institute and
State University; M.S., Virginia
Polytechnic Institute and State University;
Ph.D., University of Maryland, 1992
- Adjunct Professor, Biological Sciences
Thorns, Daniel S. Adjunct Member
B.S., Radford University, 1983; M. E. D.,
Marymount University, 1991.
-Technical Consultant, Educational
Technology Center
Thorn, Jennifer Dawn Regular
Member
B.S., West Virginia University, 1996;
M.Ed., Frostburg State University, 2000.
- Agent, Extension Service Allegany
Thornberry, Terence P. Regular
Member
B.A., Fordham University, 1966; M.A.,
University of Pennsylvania, 1971; Ph.D.,
1971
- Professor, Criminology and Criminal
Justice
Thorne, Barbara Regular Member
B.A., Brown University, 1976; M.A.,
Harvard University, 1978; Ph.D., 1983.
- Director, Biological Sciences
- Professor, Entomology
Thornton, Lee Regular Member
B.S., Miner Teachers College,
Washington, D.C., 1964; M.A., Michigan
State University, 1 968; Ph.D.,
Northwestern University, 1973.
- Professor, Journalism
Thorpe, James G. Regular Member
B.A., University of Maryland-College Park,
1973; M.F.A., 1975.
- Associate Professor, Art Studio
Tian, Wei Regular Member
B.A., Sun Yat-Sen University of Medical
Sciences, 1994; Ph.D., University of
Illinois, 2005.
- Assistant Professor, Hearing and
Speech Sciences
Tilley, David R. Regular Member
B.S., North Carolina State University,
1992; M.E., University of Florida, 1996;
Ph.D., University of Florida, 1999.
-Associate Professor, Enviromental
Science and Technology
Tismaneanu, Vladimir Regular
Member
B.A., University of Bucharest, 1974; Ph.D.,
1980.
- Distinguished Scholar-Teacher,
Government and Politics
- Professor, Government and Politics
598
Tits, Andre L. Regular Member
B.S., University of Liege, 1974; M.S.,
University of California-Berkeley,
1979;Ph.D., 1980.
- Associate Chair, Systems Research,
Institute for
- Professor, Engineering: Systems
Engineering
- Professor, Engineering: Electrical &
Computer Engineering
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Systems Research, Institute
for
- Affiliate Professor, Computer Science
Titus, Marvin Regular Member
B.A. City University of New York, York
College, 1979; M.A. University of
Wisconsin at Milwaukee, 1982; Ph.D.
University of Maryland, 2003
- Assistant Professor, Higher Education
and International Education
Tjaden, Robert L. Regular Member
B.S. Univ. of Maine, 1978; M.F. Duke
Univ. 1986; Ph.D., Univ.Maryland, 2002.
- Principal Agent, Enviromental Science
and Technology
- Senior Agent, Cooperative Extension
Service
- Senior Agent, CES - Wye Resource and
Education Center
Toll, John S. Regular Member
B.S., Yale University, 1944; A.M.,
Princeton University, 1948; Ph.D., 1952;
S.C.D., University of Maryland-University
College, 1973; S.C.D., University of
Wroclaw-Poland, 1975; L.L.D., Adelphi
Univers
- Chancellor Emeritus, University System
of Maryland
- Chancellor Emeritus, Physics
Tolson, Robert Adjunct Member
B.S., Virginia Polytechnic Institute, 1958
M.S, Virginia Polyatechnic Institute, 1963
Ph.D., Old Dominion University, 1990
- Visiting Professor, Engineering:
Aerospace Engineering
- DEFAULT, Engineering: Aerospace
Engineering
Torney-Purta, Judith Regular
Member
A.B., Stanford University, 1959; M.A.,
University of Chicago, 1962; Ph.D., 1965.
- Professor, Education: Human
Development
Torrents, Alba Regular Member
B.S. .University of Barcelona, 1985; M.A.,
Johns Hopkins University, 1988;
Ph.D., 1992.
- Professor, Engineering: Civil and
Environmental Engineering
Tossell, John A. Regular Member
B.S., University of Chicago, 1966;M.S.,
Harvard University, 1967; Ph.D., 1974.
- Professor Emeritus, Chemistry
Toth, Elizabeth L. Regular Member
B.A., Northwestern University, 1966; M.A.,
Purdue University, 1969; Ph.D., 1975
- Chair, Communication
- Professor, Communication
- Affiliate Professor, Women's Studies
Tourangeau, Roger E. Regular
Member
B.A., Cornell University, 1973; Ph.D., Yale
University, 1979.
- Director, Survey Methodology
- Senior Research Scientist, Survey
Methodology
Towe, Charles Regular Member
B.A., North Carolina University, Asheville,
1996; M.A., Tufts University, 1997; Ph.D.,
University of Maryland, 2008
- Assistant Professor, Agricultural and
Resource Economics
Townshend, John R. Regular
Member
B.Sc, University College-London, 1967;
Ph.D., 1971.
- Professor, Geography
Traunfeld, Jon H. Regular Member
B.A., Grinnell College, 1977;
M.S. .University of Tennessee, 1982.
- Senior Agent, Cooperative Extension
Service
- Senior Agent, CES - Home and Garden
Information Center
Traver, Paul P. Regular Member
B.Mus., Catholic University of America,
1955; M.Mus., 1957; D.M.A.,Stanford
University, 1967.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor Emeritus, Music
Travers, Carolyn A. Regular
Member
B.S., University of Maryland-College Park,
1965; M.Ed., North Carolina State
University, 1970.
- Senior Agent, Extension Service
Montgomery
- Senior Agent, Cooperative Extension
Service
Tregoning, Douglas W. Regular
Member
B.S., West Virginia University, 1 977; M.S.,
1979.
- Director, Extension Service Montgomery
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service
Montgomery
Tretter, Steven A. Regular Member
B.S. .University of Maryland-College Park,
1962; M.A., Princeton University, 1964;
Ph.D., 1966.
GCEN Academic Advisor for Electrical
and Computer Engineering
- Associate Professor Emeritus,
Engineering: Electrical & Computer
Engineering
Triantis, Alexander J. Regular
Member
B.A., University of Toronto, 1983; M.Eng.,
1984; Ph.D., Stanford University, 1988.
- Area Chair, Business and Management
Tripathi, Satish K. Regular Member
B.S., Banaras Hindu University,
1968;M.S., 1970; M.S., University of
Alberta-Edmonton, 1974; M.S. .University
of Toronto, 1976; Ph.D., 1979.
- Visiting Professor, Computer Science
Trivisa, Konstantina Regular
Member
B.A.,Univ of Patras, 1990; M.A., Brown
Univ, 1992; Ph.D., Brown Univ, 1996
- Director, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Trivisa, Konstantina Regular
Member
BSc, 1990, University of Patras (Greece);
MS, 1992, Brown University; PhD, 1996,
Brown University
- Professor, Mathematics
Trouve, Arnaud Regular Member
M.S., Ecole Centrale Paris-France, 1985;
Ph.D., Ecole Centrale Paris-France,
1989.
-Associate Professor, Engineering: Fire
Protection Engineering
- Affiliate Associate Professor,
Engineering: Mechanical Engineering
Truitt, Anne D. Regular Member
B.A., Bryn Mawr College, 1943; D.F.A.,
The Corcoran School of Art, 1985; D.F.A.,
Kansas City Art Institute, 1987; D.F.A., St.
Mary's College, 1988; D.F.A., Maryland
Institute College of Art, 1991.
- Distinguished Scholar-Teacher,
Distinguished Faculty
Tsai, Lung-Wen Regular Member
B.S., National Taiwan University, 1967;
M.S., State University of New York-Buffalo,
1970; Ph.D., Stanford University, 1973.
- Professor, Systems Research, Institute
for
Tseng, Chau-Wen Regular Member
M.S., Rice University, 1992; Ph.D., 1993.
- Associate Professor, Computer Science
- Associate Professor, Advanced
Computer Studies, Institute for
Tsong, Mayron Regular Member
B.Mus. (Piano), University of Calgary,
1991; M.Mus. (Piano), University of
Calgary, 1994; M.Mus. (Music Theory),
Rice University, 2001; D.M.A. (Piano),
Rice University, 2002
- Associate Professor, Music
Tucker, Mark L. Adjunct Member
B.S., University of Maryland-College Park,
1974; M.S., 1978; Ph.D.,University of
California-Los Angeles, 1984.
- Molecular Biologist, Plant Molecular
Biology Lab, USDA
Tugarinov, Vitali Regular Member
B.A. & M.S., Moscow Institute of Chemical
Technology, Russia - 1992; Ph.D., The
Weizmann Institute of Science, Israel -
2000; Postdoc - University of Toronto,
Canada - 2007.
- Assistant Professor, Biochemistry
- Assistant Professor, Chemistry
Turner, Hugh Regular Member
B.S., Massachusetts Maritime Academy,
1979; M.B.A., University of Maryland-
College Park, 1987
- Lecturer, Business and Management
Turner, Jennifer Regular Member
B.A., University of Pennsylvania,
Philadelphia, 1994; M.Ed., Temple
University, 1995; Ph.D., Michigan State
University, 2003
-Associate Professor, Education:
Curriculum and Instruction
Turner, Scott F. Regular Member
B.S., Clemson University, 1995; M.S.,
Purdue University-Calumet, 1998; D.B.A.,
University of North Carolina, 2003.
-Assistant Professor, Business and
Management
Turner, Thomas R. Regular Member
B.S., Virginia Polytechnic Institute & State
University, 1973; M.S., Pennsylvania
State University-University Park, 1976;
Ph.D., 1980.
- Associate Professor, Plant Science
Tuthill, Dean Fanning Regular
Member
B.S., Cornell University, 1949; M.S.,
University of Illinois-Urbana/Champaign,
1954; Ph.D., 1958.
599
- Professor Emeritus, Agricultural and
Resource Economics
Tycko, Robert Regular Member
A.B., Princeton University, 1980; Ph.D.,
UC Berkeley, 1984;
- Adjunct Professor, Physics
Tyler, Forrest B. Regular Member
B.A., DePauw University, 1948; M.A.,
Ohio State University, 1950; Ph.D., 1952.
- Professor Emeritus, Psychology
Uccellini, Louis Adjunct Member
- Adjunct Professor, Atmospheric and
Oceanic Science
Ude, George Special Member
B.S., University of Ife, Nigeria, 1985; M.S.,
Obafemi Awolowo University, Nigeria,
1989: Ph.D. University of Maryland, 1999.
- Adjunct Associate Professor, Plant
Science
Ulukus, Sennur Regular Member
B.S., Bilkent University, 1991; M.S., 1993;
Ph.D., Rutgers University-Newark, 1998
- Associate Professor, Engineering:
Systems Engineering
- Associate Professor, Engineering:
Electrical & Computer Engineering
Unal, Haluk Regular Member
B.A., Istanbul University, 1973; Doctor,
1976; M.A., Ohio State University-
Columbus, 1981; Ph.D., 1985.
- Professor, Business and Management
Upadhyaya, Arpita Regular Member
B.E. & M.S., Birla Institute of Technology
and Science, India, 1994; Ph.D.,
University of Notre Dame, 2000;
- Assistant Professor, Biophysics
- Assistant Professor, Physics
- Assistant Professor, Chemical Physics
- Affiliate Assistant Professor,
Engineering: Bioengineering
Uriagereka, Juan Regular Member
B.A., University of Deusto-Spain, 1983;
M.A., University of Connecticut-Storrs,
1986; Ph.D., 1988.
- Professor, Neuroscience and Cognitive
Science
- Professor, Linguistics
Uslaner, Eric M. Regular Member
B.A., Brandeis University, 1968; M.A.,
Indiana University-Bloomington, 1970;
Ph.D., 1973.
- Professor, Government and Politics
Vadala, Christopher J. Regular
Member
B.Mus., University of Rochester, 1970;
M.A., Connecticut College, 1973.
- Professor, Music
Vakharia, Vikram Regular Member
B.S., Bombay University, 1971; M.S.,
Wichita State University, 1979;
Ph.D. .University of Kansas, 1983. Dr.
Vakharia is studying the molecular biology
of economically important poultry disease
viruses which cause immunosuppression.
His research interests are in developing
rational strategies for the diagnosis and
control of viral infections.
- Affiliate Professor, Biological Sciences
- Affiliate Professor, Engineering:
Bioengineering
Valiavitcharska, Vessela
V. Regular Member
B.A., Sofia University, Bulgaria, 1995;
M.A., Chicago University, 1997; Ph.D.
University of Texas, 2007.
- Professor, Comparative Literature
- Assistant Professor, English Language
and Literature
Valli, Linda R. Regular Member
B.A., Mercy College of Detroit, 1970;
M.A.,Johns Hopkins University, 1976;
Ph.D., University of Wisconsin-Madison,
1983.
- Acting Chair, Education: Curriculum and
Instruction
- Professor, Education: Curriculum and
Instruction
Valliant, Richard L. Regular Member
B.S., University of Arkansas, 1972; M.S.,
Cornell University, 1975; Ph.D., Johns
Hopkins University, 1983.
- Senior Research Scientist, Survey
Methodology
Vamos, John S. Adjunct Member
B.Mech.E., Villanova University, 1964;
Ph.D., Ohio State University, 1970.
- Head, Reentry Systems Branch, Naval
Surface Weapons Center
van Brunt, John E. Adjunct Member
B.A., Fairleigh-Dickinson University, 1965;
Ph.D., University of Maryland, 1972.
- Director, Reading & Study Skills Lab,
Counseling Center, UMCP
van Zee, Emily H. Regular Member
B.A., Harvard-Radcliff University, 1964;
M.S. .University of Washington, 1982;
Ph.D., 1989.
- Associate Professor Emerita, Education:
Curriculum and Instruction
Vann, Robert L. Regular Member
B.S., University of Texas-Austin, 1968;
Ph.D.Arch.Hist., Cornell University, 1976.
- Professor, Architecture
- Professor, Urban and Regional Planning
and Design
Vanneman, Reeve D. Regular
Member
A.B., Cornell University, 1967; Ph.D.,
Harvard University, 1975.
- Chair, Sociology
- Professor, Sociology
Vannoy, Donald W. Regular Member
B.S.,West Virginia Institute of Technology,
1970; M.S., University of Virginia, 1971;
Ph.D., 1975.
- Professor Emeritus, Engineering: Civil
and Environmental Engineering
VanSledright, Bruce A. Regular
Member
B.A., Calvin College, 1976; M.A., Michigan
State University, 1982; Ph.D., 1992.
- Professor, Education: Curriculum and
Instruction
Varley, Ellen N. Regular Member
B.A., Marygrove College, 1964; M.A.,
University of Maryland-College Park,
1973.
- Senior Agent, Distance Education and
Outreach to the Community
- Senior Agent, Cooperative Extension
Service
Varner, Mark A. Regular Member
B.S., University of Minnesota-Twin Cities,
1975; M.S., Washington State University,
1977; Ph.D., North Carolina State
University, 1981.
- Professor, Animal Sciences
Varshney, Amitabh Regular Member
B.S., Indian Institute of Technology-Delhi,
1989; M.S. .University of North Carolina-
Chapel Hill, 1991; Ph.D., 1994.
- Professor, Computer Science
- Associate Professor, Advanced
Computer Studies, Institute for
Vasta, Gerardo R. Regular Member
Ph.D., Cordoba National University, 1990.
- Professor, Marine-Estuarine-
Environmental Sciences
Vaughan, Mary Kay Regular
Member
B.A., Cornell University, 1964; M.A.,
University of Wisconsin, 1970; Ph.D.,
1973.
- Professor, History
- Affiliate Professor, Women's Studies
Vedernikov, Andre Regular Member
B.S., State University of Kanzan, Russia,
1980; Ph.D., 1986; Doctorized, 1999.
- Associate Professor, Chemistry
- Associate Professor, Chemical Physics
Vegh, Carlos Regular Member
B.A., American University, 1983; B.A.,
Univ. de la Republica, 1979-82; M.A.,Univ.
of Chicago, 1984; Ph.D., Univ. of Chicago,
1987.
- Professor, Economics
Veilleux, Sylvain Regular Member
B.S., University of Montreal, 1984;
M.S. .University of California-Santa Cruz,
1986; Ph.D., 1989.
- Professor, Astronomy
Veillon, Phylis B. Regular Member
B.S., University of Maryland-College Park,
1969; M.S., 1973; Ph.D., 1976.
- Professor Emeritus, Nutrition
Venit, Marjorie S. Regular Member
B.F.A., San Francisco Art Institute, 1962;
M.A., New York University-Institute of Fine
Arts, 1976; Ph.D., New York University-
Institute of Fine Arts, 1982.
- Professor, Art History and Archaeology
Venkatesan, T. Venky Regular
Member
B.S., Indian Institute of Technology-
Kharagpur, 1969; M.S. .Indian Institute of
Technology-Kanpur, 1971; Ph.D., City
University of New York-Brooklyn College,
1977.
- Professor, Chemical Physics
- Research Professor, Physics
Verdaguer, Pierre M. Regular
Member
M.A., Paris III, 1972; Agregation,
University of Paris, 1974; Ph.D., University
of Virginia, 1981.
- Professor Emeritus, Modern French
Studies
- Professor Emeritus, French Language
and Literature
Vernekar, Anandu D. Regular
Member
B.Sc, University of Poona, 1956;
M.Sc.,1959; M.S., University of Michigan-
Ann Arbor, 1963; Ph.D., 1966.
- Professor Emeritus, Atmospheric and
Oceanic Science
Via, Sara Regular Member
B.A., Duke University, 1974;
M.S. .Australian National University-
Canberra, 1976; Ph.D., Duke University,
1983.
- Professor, Biological Sciences
- Professor, Entomology
Vijay, Inder K. Regular Member
B.S., Punjab University, 1961; M.S.,
University of Saskatchewan-Saskatoon,
1966; Ph.D., University of California-
Davis, 1971.
- Distinguished Faculty Research Fellow,
600
Distinguished Faculty
- Professor Emeritus, Food Science
- Professor Emeritus, Nutrition
Vincent, Daniel R. Regular Member
B.A., University of Oxford, 1983; Ph.D.,
Princeton University, 1987; B.A.,
University of Toronto, 1990.
- Professor, Economics
Vinnikov, Konstantin Adjunct
Member
Engineer-Meteorologist, Leningrad
Hydrometeorological Institute, 1961 ;
Kandidat,Voeikov Main Geophysical
Observatory-Leningrad, 1966.
- Senior Research Scientist, Atmospheric
and Oceanic Science
Vishkin, Uzi Regular Member
B.S., Hebrew University of Jerusalem,
1974; M.S., 1975; D.Sc.Jechnion
University-Israel, 1981.
- Professor, Engineering: Electrical &
Computer Engineering
- Professor, Advanced Computer Studies,
Institute for
- Affiliate Professor, Computer Science
Viswanath, Sivakumar Regular
Member
M.S., New York University, 2000; Ph.D.,
New York University, 2002.
- Assistant Professor, Business and
Management
Vizzini, Anthony J. Regular Member
S.B., Massachusetts Institute of
Technology, 1981 S.B., 1982; S.M., 1983;
Ph.D., 1986.
- Associate Professor, Engineering
Research Center
Vlaicu, Razvan Regular Member
M.A., Central European University, 2001;
Ph.D., Northwestern University, 2006.
- Assistant Professor, Economics
Vogel, Stuart N. Regular Member
B.A., Williams College, 1975; Ph.D.,
University of California-Berkeley, 1983.
- Chair, Astronomy
- Professor, Astronomy
Volchok, Mikhail Adjunct Member
B.M., Moscow State Conservatory; M.M.,
Leningrad State Conservatory; Ph.D.,
Leningrad State Conservatory
- Lecturer, Music
Volk, Alicia Regular Member
B.A., University of Virginia, 1994; MPhil,
Yale University, 2001 ; Ph.D. 2005.
- Associate Professor, Art History and
Archaeology
von Petersdorff, Tobias Regular
Member
Dipl. Math. .Technical University of
Darmstadt-Germany, 1987; Ph.D., 1989.
- Associate Professor, Mathematics
- Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Voorhees, Carolyn Regular Member
- Research Associate Professor, Public
Health: Behavioral and Community Health
Ph.D.
- Research Associate Professor, Public
Health: Master of Public Health-
Community Health Education
Votta, Michael Regular Member
B.M., M.M. University of Michigan; D.M.A.
Eastman School of Music
- Professor, Music
Wachbroit, Robert S. Adjunct
Member
B.S., Massachusetts Institute of
Technology, 1970; Ph.D. .University of
California-Berkeley, 1979.
- Associate Research Scholar, Philosophy
and Public Policy, Institute for
Wachsman, Eric Regular Member
B.S., University of California, Berkeley,
1982; M.S., Stanford University, 1986;
Ph.D. Stanford University, 1990.
- Professor, Engineering: Materials
Science and Engineering
- Professor, Engineering: Chemical
Engineering
Wade, James C. Regular Member
B.A., Abilene Christian University, 1967;
M.A., University of Texas-Austin, 1970;
Ph.D., Iowa State University, 1975.
- Associate Dean, College of Agriculture
and Natural Resources
- Associate Director, Cooperative
Extension Service
- Associate Professor, Cooperative
Extension Service
- Associate Professor, College of
Agriculture and Natural Resources
Wagner, Daniel M. Regular Member
B.A., University of Maryland, College
Park, 1979; M.A., 1982.
- Director, Dance
- Director, Theatre
- Professor, Theatre
Wagner, Janet Regular Member
B.S., Cornell University, 1970; M.A., 1973;
Ph.D., Kansas State University, 1982.
- Associate Professor, Business and
Management
Wagner, Michael A. Adjunct Member
B.A., University of Maryland-College Park,
1976; M.A., 1978; Ph.D., 1983.
- Research Associate, Substance Abuse
Research, Center for
Waguespack, David M. Regular
Member
B.A., University of California-Santa
Barbara, 1989; M.Pol.Sc, D.Pol.Sc,
University of Oregon, 1998;
- Assistant Professor, Business and
Management
Wainger, Lisa Regular Member
B.S., University of California Santa Cruz,
1986; Ph.D., University of Maryland
College Park, 1997.
- Research Associate Professor, Marine-
Estuarine-Environmental Sciences
Wakefield, John E. Regular Member
B.Mus., University of Michigan-Ann Arbor,
1963; M.Mus., 1964.
- Associate Professor Emeritus, Music
Waks, Edo Regular Member
B.S., 1995, Johns Hopkins University,
M.S., 1996; Ph.D., Stanford University,
2003.
- Assistant Professor, Engineering:
Electrical & Computer Engineering
Waks, Leah Adjunct Member
B.A., Tel Aviv University, 1980;
Ph.D. .University of Michigan, 1991.
- Senior Lecturer, Communication
Walker, Leslie Regular Member
B.A., University of Virginia, 1974; M.A.,
1975
- Visiting Professor, Journalism
Walker, Richard E. Regular Member
B.A., University of West Virginia, 1 966
M.A., 1968; Ph.D., University of Chicago,
1973.
- Associate Director, Undergraduate
Studies
- Associate Professor, Undergraduate
Studies
- Associate Professor Emeritus, German
Literature and Language
Walker, Richard J. Regular Member
B.S., College of William & Mary, 1979;
M.S., State University of New York-Stony
Brook, 1981; Ph.D., 1984.
- Professor, Geology
Wallace, James M. Regular Member
B.C.E.,Georgia Institute of Technology,
1962; M.S., 1964; D.Phil. .University of
Oxford, 1969.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Engineering: Mechanical
Engineering
Wallace, Stephen J. Regular
Member
B.S., Case Institute of Technology, 1961;
M.S. .University of Washington, 1969;
Ph.D., 1971.
- Professor, Physics
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Wallen, Jacqueline Regular Member
B.A., Lake Forest College, 1965;
M.A., University of Chicago, 1967; Ph.D.,
1976; M.S.W., Catholic University of
America, 1989.
-Associate Professor, Public Health:
Maternal and Child Health Ph.D.
- Associate Professor, Family Science
Wallis, John J. Regular Member
B.A., University of Washington, 1975;
M.A., 1978; Ph.D., 1981.
- Professor, Economics
Wallsten, Thomas S Regular
Member
B.S., University of Wisconsin, Madison,
1964; M.A., University of Pennsylvania,
1966; Ph.D., 1969.
- Chair, Psychology
- Professor, Psychology
- Professor, Neuroscience and Cognitive
Science
Walsh, Christopher S. Regular
Member
B.A., Middlebury College, 1969; M.S.,
Cornell University, 1977; Ph.D., 1980.
- Professor, Plant Science
Walsh, Gregory Charles Regular
Member
B.S., University of Maryland-College Park,
1989; M.S., University of California-
Berkeley, 1990; Ph.D., 1994; M.A., 1994.
- Assistant Professor, Systems Research,
Institute for
Walston, Claude E. Regular Member
B.S., University of South Carolina-
Columbia, 1946; M.S., University of
Wisconsin-Madison, 1950; Ph.D., Ohio
State University-Columbus,1953.
- Professor Emeritus, Information Studies
- Professor Emeritus, Library Science
Walston, William H., Jr. Regular
Member
B.M.E., University of Delaware, 1959;
M.S., 1961; Ph.D., 1964.
- Professor Emeritus, Engineering:
Mechanical Engineering
- Professor Emeritus, Engineering:
Mechanical Engineering
Waltemire, Mary Ellen D. Regular
Member
601
B.S., Shepherd College, 1975; M.S., Hood
College, 1980.
- Director, Extension Service Washington
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service
Washington
Walter, Christina Regular Member
CV: B.A. University of Kansas, 1997; M.A.
University of Illinois at Urbana-
Champaign, 2001 ; Ph.D., 2008.
- Assistant Professor, English Language
and Literature
Walters, Ronald W. Regular Member
B.A., Fisk University, 1963; M.A.,
American University, 1966; Ph.D., 1971.
- Professor, Afro-American Studies
Walters, William B. Regular Member
B.S., Kansas State University,
1960;Ph.D., University of lllinois-
Urbana/Champaign, 1964.
- Professor, Chemistry
Waltrup, Paul J. Adjunct Member
B.S., University of Maryland-College Park,
1967; M.S., 1968; Ph.D.,Virginia
Polytechnic Institute & State University,
1971.
- Engineer, APL, The Johns Hopkins
University
Wang, Chunsheng Regular Member
Ph.D., Zhejiang University, 1995
- Assistant Professor, Engineering;
Chemical Engineering
- Affiliate Assistant Professor,
Engineering: Materials Science and
Engineering
- DEFAULT, Engineering: Chemical
Engineering
Wang, Jian Regular Member
B.S., Nanjing Agricultural University, 1984;
M.S., Nanjing Agricultural University,
1988; Ph.D., Shanghai Institute of
Entomology, Chinese Academy of
Sciences, 1998.
- Assistant Professor, Biological Sciences
- Assistant Professor, Entomology
- Assistant Professor, Neuroscience and
Cognitive Science
Wang, Min Regular Member
B.S., Hangzhou University, P.R. China,
1987; M.A., 1990; Ph.D., University of
Toronto, 2000.
- Associate Professor, Education: Human
Development
Wang, Min Qi Regular Member
Ed.D., Syracuse University; B.S., M.S.,
The City College of New York
- Professor, Public Health: Master of
Public Health-Community Health
Education
- Professor, Public Health: Behavioral and
Community Health Ph.D.
Wang, Nam Sun Regular Member
B.S., University of California-Berkeley,
1 979; M.S., California Institute of
Technology, 1982; Ph.D., 1988.
GCEN Academic Advisor for Chemical
and Biomolecular Engineering
- Associate Professor, Engineering:
Chemical Engineering
- Associate Professor, Engineering:
Professional Master of Engineering
- Affiliate Associate Professor,
Engineering: Bioengineering
Wang, Orrin Regular Member
B.A., Reed College, 1979; M.A., University
of Chicago, 1984; Ph.D., 1989.
- Professor, Comparative Literature
- Professor, English Language and
Literature
- Affiliate Associate Professor, American
Studies
Wang, Ping Regular Member
Ph.D., University of California - Los
Angeles (UCLA), 2005.
- Associate Professor, Library Science
- Associate Professor, Information
Management
- Associate Professor, Human-Computer
Interaction
- Associate Professor, Information Studies
Wang, Qin Regular Member
B.S., Nanjing University, 1992; M.S.,
Nanjing University, 1997; Ph.D., University
of Illinois at Urbana-Champaign, 2004
- Assistant Professor, Food Science
Wang, Tzong-Yueh
Thomas Special Member
B.S., Fu-Jen University, Taiwan, 1979,
M.S., University of California, Davis, 1982,
Ph.D., University of California, Davis,
1986.
- Research Scientist, Nutrition
Wang, Yu Huang Regular Member
Ph.D. Rice University, 2004
- Assistant Professor, Chemical Physics
- Assistant Professor, Chemistry and
Biochemistry
- Assistant Professor, Chemistry
Ward, Ian Regular Member
B.A., McGill University, 2003; Ph.D.,
Princeton University, 2008.
- Assistant Professor, Government and
Politics
Warfield, Patrick Regular Member
B.M. in Music Education, Lawrence
University; M.A. in Musicology, Indiana
University; Ph.D. in Musicology, Indiana
University
- Assistant Professor, Music
Warner, C. Robert Regular Member
B.A., University of Toronto, 1955; B.S.,
University of Rochester, 1957; Ph.D.,
1962.
- Associate Professor, Mathematics
Warren, Anne W. Regular Member
B.A., Ohio State University-Columbus,
1966; M.Ed., Wayne State University,
1969.
- Associate Director, Dance
- Professor, Dance
Warren, William I. Regular Member
B.S., University of Maryland-Eastern
Shore, 1978; M.S., 1987.
- Director, Extension Service Worcester
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service
Worcester
Washington, Lawrence C. Regular
Member
B.A., Johns Hopkins University, 1971;
M.A., 1971; Ph.D., Princeton University,
1974.
- Associate Chair, Mathematics
- Distinguished Scholar-Teacher,
Mathematics
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Mathematics
Washington, Mary Helen Regular
Member
B.A., Notre Dame College, 1962; M.A.,
University of Detroit/Mercy, 1966;
Ph.D., 1976.
- Professor, English Language and
Literature
- Affiliate Professor, American Studies
- Affiliate Professor, Women's Studies
Wasilewski, Janna Regular Member
PhD, Harvard University, 2007
- Assistant Professor, History
- Affiliate Assistant Professor, Women's
Studies
- Affiliate Assistant Professor, History
Wasserman, David T. Regular
Member
B.A.,Yale University, 1975; J.D.,
University of Michigan, 1978; M.A.,
University of North Carolina, 1981 .
- Associate Research Scholar, Philosophy
and Public Policy, Institute for
Waters, Robert Adjunct Member
B.A., Eckerd College, 1982; M.A., Harvard
University, 1985; Ph.D., Stanford
University, 1998.
- Adjunct Professor, Higher Education and
International Education
Wedel, Michel Regular Member
Atheneum B, Thorbecke Lyceum, The
Hague, Netherlands, 1975; MS.C,
Biomathematics, University of Leiden,
Netherlands, 1981; M.A. Business
Management, University of Delft,
Netherlands, 1980; MS.C. Statistics,
Netherlands Society for Statistics and
Operations, 1986; Ph.D. Marketing,
University of Wageningen, Netherlands,
1990.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Weeks, Ann C. Regular Member
B.S., Indiana State University, 1971;
M.L.S., Indiana State University, 1973;
Ph.D., University of Pittsburgh, 1982.
- Associate Dean, Human-Computer
Interaction
- Associate Dean, Information Studies
- Associate Dean, Information
Management
- Associate Dean, Library Science
Weeks, John D. Regular Member
B.A., Harvard University, 1965; Ph.D.,
University of Chicago, 1969.
- Distinguished University Professor,
Biophysics
- Distinguished University Professor,
Chemistry
- Distinguished University Professor,
Chemical Physics
- Affiliate Professor, Physics
Weible, Thomas Donald,
Jr. Regular Member
B.S., Virginia Commonwealth University,
1967;M.Ed., Salisbury State University,
1974; Ph.D., University of Iowa, 1976.
- Associate Dean, College of Education
- Acting Chair, Education: Policy and
Leadership
- Professor, Education: Curriculum and
Instruction
- Professor, Education: Policy and
Leadership
Weigand, William A. Regular
Member
B.S., Illinois Institute of Technology, 1962;
M.S., 1963; Ph.D., 1968.
- Professor, Engineering: Chemical
Engineering
-Affiliate Professor, Engineering:
Bioengineering
Weil, Raymond R. Regular Member
B.S., Michigan State University, 1970;
602
M.S., Purdue University, 1972; Ph.D.,
Virginia Polytechnic Institute & State
University, 1977.
- Professor, Enviromental Science and
Technology
Weinberg, Amy S. Regular Member
B.A., McGill University-Montreal, 1976;
Ph.D., Massachusetts Institute of
Technology, 1988.
- Professor, Neuroscience and Cognitive
Science
- Professor, Linguistics
- Associate Professor, Advanced
Computer Studies, Institute for
- Affiliate Professor, Computer Science
Weiner, John Regular Member
B.S., Pennsylvania State University-
University Park, 1964; Ph.D. .University of
Chicago, 1970.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
Weiner, Joshua Regular Member
B.A., Northwestern University, 1985; M.A.,
University of California-Berkeley, 1988;
Ph.D., 1998.
- Associate Director, Creative Writing
- Associate Professor, English Language
and Literature
- Associate Professor, Creative Writing
Weiner, Ronald M. Regular Member
B.S.,City University of New York-Brooklyn
College, 1964; M.S., Long Island
University-Brooklyn, 1967; Ph.D., Iowa
State University, 1970.
- Professor Emeritus, Biological Sciences
Weinstein, Barbara Regular Member
B.A., Princeton, 1973; M.A., M.Phil., Yale
University, 1976; Ph.D., 1980.
- Professor, History
Weismiller, Richard A. Regular
Member
B.S., Purdue University, 1964; M.S., 1966;
Ph.D., Michigan State University, 1969.
- Professor Emeritus, Enviromental
Science and Technology
Weiss, Isaac Adjunct Member
B.Sc, Tel Aviv University, 1975; M.Sc,
1977; Ph.D., 1982.
- Senior Research Scientist, Advanced
Computer Studies, Institute for
Wellford, Charles F. Regular
Member
B.A., University of Maryland-College Park,
1961; M.A., 1963; Ph.D. .University of
Pennsylvania, 1969.
- Professor, Criminology and Criminal
Justice
Wells, Kendra B. Regular Member
B.S., University of Maryland-College Park,
1976.
- Senior Agent, Cooperative Extension
Service
- Senior Agent, 4-H Youth Development
Wellstood, Frederick C. Regular
Member
A.B., University of California-Berkeley,
1979; Ph.D., 1988.
- Professor, Physics
- Affiliate Professor, Engineering:
Electrical & Computer Engineering
Wentworth, Richard A. Regular
Member
B.S. University of Wisconsin, 1985, Ph.D.
Columbia University, 1990.
- Professor, Mathematics
Wentzel, Donat G. Regular Member
B.A., University of Chicago, 1954; B.S.,
University of Chicago, 1955; M.S.,
University of Chicago, 1956; Ph.D.,
University of Chicago, 1960
- Professor Emeritus, Astronomy
Wentzel, Kathryn R. Regular
Member
B.A., University of Minnesota-Twin Cities,
1982; Ph.D., Stanford University, 1987.
- Professor, Education: Human
Development
Wereley, Norman M. Regular
Member
B.E., McGill University-Montreal, 1983;
M.S., Massachusetts Institute of
Technology, 1987; Ph.D., 1990.
- Professor, Engineering: Aerospace
Engineering
Werlinich, Carol A. Adjunct Member
B.S. .Pennsylvania State University-
University Park, 1 961 ; M.S., University of
Maryland-College Park, 1974; Ph.D.,
University of Maryland-College Park,
1983.
- Instructor, Family Science
Wermers, Russell R. Regular
Member
B.S., University of Idaho, 1981; M.B.A.,
University of California-Los Angeles,
1989; Ph.D., University of California-Los
Angeles, 1995.
- Associate Professor, Business and
Management
Wexler, Jade Regular Member
B.A., James Madison University, 1997;
M.S., Johns Hopkins University, 2002;
Ph.D., The University of Texas at Austin,
2007
- Assistant Professor, Education: Special
Education
Wexler, Richard Regular Member
B.Mus., University of Michigan-Ann Arbor,
1963;M.A., New York University, 1969;
Ph.D., 1974.
- Professor, Music
Wheelock, Arthur, Jr. Regular
Member
B.A., Williams College, 1965; Ph.D.,
Harvard University, 1973.
- Professor, Art History and Archaeology
Whigham, Dennis Special Member
B.A., Wabash College, 1966; Ph.D.,
University of North Carolina, Chapel Hill,
1971.
- DEFAULT, Enviromental Science and
Technology
White, Ian Regular Member
Ph.D., Stanford University 2002
- Assistant Professor, Engineering:
Bioengineering
- Assistant Professor, Chemical Physics
- Assistant Professor, Fischell Department
of Bioengineering
White, Marilyn D. Regular Member
B.A., Our Lady of the Lake College, 1 962;
M.S., University of Wisconsin-Madison,
1963; Ph.D., University of lllinois-
Urbana/Champaign, 1971.
- Professor Emerita, Information Studies
White, Owen Adjunct Member
Ph.D. New Mexico State University, New
Mexico, 1992
- Adjunct Professor, Biological Sciences
White, Susan Regular Member
B.A., Brown University; M.B.A.,
Binghamton University; Ph.D., University
of Texas at Austin, 1990.
- Lecturer, Business and Management
Whitehead, Tony L. Regular Member
B.A., Shaw University, 1965;
M.S. .University of Pittsburgh, 1969; Ph.D.,
1976.
- Professor, Anthropology
Whitney, Diane Regular Member
B.A., Grove City College, 1984; M.B.A.,
Virginia Polytechnic Institute & State
University, 1990; Ph.D., University of
Maryland-College Park, 1997.
- Lecturer, Business and Management
Wible, Scott Regular Member
B.A., Duquesne University, 2000; M.A.,
Pennsylvania State University, 2002;
Ph.D., Pennsylvania State University,
2006.
-Associate Professor, English Language
and Literature
Wickner, Reed Adjunct Member
M.D. Georgetown University, 1966
- Adjunct Professor, Biological Sciences
Widrig, Patrik Regular Member
BA, Education, Padagogische Hochschule
Rorschach, Switzerland (1981);
Nikolais/Louis Dance Lab, New York City
(1984-87); Alexander Technique/Somatic
Training, Regina Wray, Ann Rodiger
(1986-96)
- Associate Professor, Dance
Wien, Peter Regular Member
DPhil, Universitat Bonn, 2003
- Assistant Professor, History
Wigfield, Allan L. Regular Member
B.S., University of lllinois-
Urbana/Champaign, 1974; M.A., 1977;
Ph.D., 1982.
- Professor, Education: Human
Development
Wilberg, Michael Regular Member
B.S., Michigan State University, 1997;
M.S., University of Wisconsin - Stevens
Point, 2000; Ph.D., Michigan State
University, 2005.
- Assistant Professor, Marine-Estuarine-
Environmental Sciences
Wildt, David E. Adjunct Member
B.S., Illinois State University, 1972; M.S.,
Michigan State University, 1973; Ph.D.,
1975.
- Head, Reproductive Physiology, National
Zoological Park
Wilkenfeld, Jonathan Regular
Member
B.S., University of Maryland-College Park,
1964; M.A., George Washington
University, 1966; Ph.D., Indiana
University-Bloomington, 1969.
- Distinguished Scholar-Teacher,
Government and Politics
- Professor, Government and Politics
Wilkinson, Gerald S. Regular
Member
B.S., University of California-Davis, 1977;
Ph.D., University of California-San Diego,
1984.
- Professor, Biological Sciences
- Professor, Distinguished Faculty
Willard, Gregory Regular Member
B.B.A., West Texas State University,
1990; M.A., University of Oklahoma, 1992;
M.S.B.A., Washington University in Saint
Louis, 1994; Ph.D., Washington University
in Saint Louis, 1996.
-Assistant Professor, Business and
Management
Williams, Alexander Regular
Member
603
B.A. Princeton University, 1992; Ph.D.
University of Pennsylvania, 2005
- Assistant Professor, Linguistics
- Assistant Professor, Philosophy
- DEFAULT, Philosophy
Williams, Daryle Regular Member
AB, Princeton University, 1989; MA,
Stanford University, 1991; PhD, Stanford
University, 1995
- Associate Professor, History
Williams, Edith C. Regular Member
B.S., North Carolina Agricultural and
Technical State University, 1962;
M.S. .University of Maryland-College Park,
1979.
- Specialist, 4-H Youth Development
- Specialist, Cooperative Extension
Service
Williams, Ellen D. Regular Member
B.S., Michigan State University,
1976;Ph.D., California Institute of
Technology, 1982.
- Director, Physics
- Director, Materials Research Science &
Engineering Center
- Distinguished University Professor,
Physics
- Distinguished University Professor,
Chemical Physics
- Distinguished Faculty Research Fellow,
Distinguished Faculty
Williams, Helen D. Regular Member
B.S., Fort Valley State College, 1969;
M.Ed., East Carolina University-
Greenville, 1977.
- Senior Agent, Cooperative Extension
Service
- Senior Agent, Extension Service Prince
Georges
Williams, Isaac Regular Member
B.S. Architecture, University of Maryland,
2000; M.Arch, University of Maryland,
2002
- Assistant Professor, Architecture
Williams, Rhonda M. Regular
Member
B.A., Harvard-Radcliffe Colege, 1978;
Ph.D. .Massachusetts Institute of
Technology, 1983.
- Associate Professor, Afro-American
Studies
Williams, Roberton III Regular
Member
A.B., Harvard College, 1994; Ph.D.,
Stanford University, 1999
- Associate Professor, Agricultural and
Resource Economics
Williams, John Adjunct Member
B.A., Princeton University Ed.M., Harvard
University Ed.D., Harvard University
- Professor of Practice, Education: Policy
and Leadership
Williams-Forson, Psyche Regular
Member
B.A., University of Virginia, 1987; M.A.,
University of Maryland, 1994; Ph. D.,
University of Maryland, 2002.
- Director, American Studies
- Associate Professor, American Studies
- Affiliate Associate Professor, Women's
Studies
- Affiliate Assistant Professor, American
Studies
Wilson, Andrew S. Regular Member
B.A., Cambridge University, 1969; M.A.,
1973; Ph.D., 1973.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
Wilson, David Granville Regular
Member
B.S. .University of Maryland-College Park,
1990; M.S., 1994.
- Agent, Cooperative Extension Service
- Agent, Extension Service Cecil
Wilson, Francille R. Regular
Member
B.A., Wellesley College, 1969; M.A.T.,
Harvard University,1970; M.A., University
of Pennsylvania, 1979; Ph.D., 1988.
- Assistant Professor, Afro-American
Studies
Wilson, Laura B. Regular Member
B.A., Simmons College, 1969; M.A.,
University of Pennsylvania, 1970;
Ph.D., 1974.
- Chair, Public Health: Master of Health
Administration
- Chair, Public Health: Health Services
Ph.D.
- Director, Aging, Center on
- Professor, Aging, Center on
- Affiliate Professor, Sociology
Wilson, Mark E. Regular Member
B.A., University of California-Los Angeles,
1970; M.A., 1972; Ph.D., 1974.
- Associate Professor, Music
Wilson, Otto C, Jr Regular Member
B.S., Rutgers University-Newark, 1988;
M.S., 1991; Ph.D., 1994.
- Assistant Professor, Materials and
Nuclear Engineering
Windle, Robert J. Regular Member
B.A., College of William & Mary, 1977;
M.S., University of Wisconsin-Madison,
1981;Ph.D., 1984.
- Professor, Business and Management
Wing, Scott Adjunct Member
B.S., Yale College, 1976: Ph.D., Yale
University, 1981
- Adjunct Professor, Biological Sciences
Winkelmann, Allen E. Regular
Member
B.S. .University of Minnesota-Twin Cities,
1965; M.S., 1967; Ph.D.,University of
Maryland-College Park, 1976.
- Associate Professor, Engineering:
Aerospace Engineering
Winkelnkemper, Horst E. Regular
Member
B.A., National University of Mexico, 1963;
M.A., Princeton University, 1965; Ph.D.,
1970.
- Associate Professor, Mathematics
Winton, Calhoun Regular Member
A.B., University of the South-Sewanee,
1948;M.A., Vanderbilt University, 1950;
M.A., Princeton University, 1954;
Ph.D., 1955.
- Professor Emeritus, English Language
and Literature
Wiseman, Donna Regular Member
B.S., Oklahoma State University, 1968;
M.Ed., Arkansas State University, 1976;
Ph.D., University of Missouri-Columbia,
1979.
- Dean, Education: Curriculum and
Instruction
- Professor, Education: Curriculum and
Instruction
Wish, Eric D. Regular Member
B.S., University of Massachusetts-
Amherst, 1968; Ph.D., Washington
University, 1977.
- Director, Substance Abuse Research,
Center for
- Associate Professor, Substance Abuse
Research, Center for
- Associate Professor, Criminology and
Criminal Justice
Witczak, Matthew W. Regular
Member
B.S., Purdue University, 1962; M.S., 1963;
Ph.D., 1969.
- Professor Emeritus, Engineering: Civil
and Environmental Engineering
Withers, Josephine Regular
Member
B.A., Oberlin College, 1960; M.A.,
Columbia University, 1965; Ph.D.,
Columbia University, 1971.
- Associate Professor Emerita, Art History
and Archaeology
Witzleben, J. Lawrence Regular
Member
B.A. in Music Theory/History, UC-Santa
Barbara; M.A. in Ethnomusicology,
University of Hawaii; Certificate of
Postgraduate Study in Chinese Music
Theory, Shanghai Conservatory of Music;
Ph.D. in Ethnomusicology, University of
Pittsburgh
- Professor, Music
Wohlfarth, Patrick Regular Member
B.A., University of Maryland, Baltimore
County, 2004; M.A., University of North
Carolina at Chapel Hill, 2006; Ph.D.,
University of North Carolina at Chapel Hill,
2010.
- Assistant Professor, Government and
Politics
Wolf, Lothar Regular Member
B.S.,Technische Universitat Berlin, 1963;
M.S., 1968; Ph.D., 1970.
- Professor, Materials and Nuclear
Engineering
Wolfe, Peter Regular Member
B.S., St. Lawrence University, 1959;
B.S.E.E., Rensselaer Polytechnic Institute,
1959; M.S., Northwestern University,
1 961 ; Ph.D., New York University, 1 965.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Mathematics
Wolniak, Stephen M. Regular
Member
B.A., State University of New York-
Oswego, 1972; M.S., University of lllinois-
Urbana/Champaign, 1974;
Ph.D. .University of California-Berkeley,
1979.
- Distinguished Faculty Research Fellow,
Distinguished Faculty
- Professor, Biological Sciences
Wolpert, Scott A. Regular Member
B.S., Johns Hopkins University,1972;
M.S., Stanford University, 1974; Ph.D.,
1976.
- Associate Dean, College of Computer,
Mathematical, and Physical Sciences
- Distinguished Scholar-Teacher,
Mathematics
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Mathematics
Wolvin, Andrew D. Regular Member
B.S., University of Nebraska, 1962;
M.A.,1963; Ph.D., Purdue University,
1968.
- Professor, Communication
Womack, Sandra E. Regular
Member
B.S., Federal City College, 1975; M.S.,
604
Trinity College, 1980.
- Senior Agent, Extension Service Charles
Wong, Edlie Regular Member
B.A. University of California, Berkley,
1995; Ph.D., Univeristy of California,
2003.
- Associate Professor, English Language
and Literature
Wonnacott, Paul Regular Member
B.A., University of Western Ontario, 1955;
M.A., Princeton University, 1957; Ph.D.,
Princeton University, 1959.
- Professor Emeritus, Economics
Woo, Ching-Hung Regular Member
B.S., Louisiana Tech University, 1958;
M.A., University of California-Berkeley,
1959; Ph.D., 1962.
- Professor Emeritus, Physics
Woods, Clyde Regular Member
NO*CV*LISTED
- Assistant Professor, Afro-American
Studies
- Assistant Professor, Afro-American
Studies
Woods, L. Curry, III Regular Member
B.S., Murray State University, 1975; M.S.,
Ohio State University-Columbus, 1977;
Ph.D., North Carolina State University,
1983.
- Associate Professor, Animal Sciences
Wortham-Galvin, B. D. Regular
Member
AB, American Studies and Anthropology,
Brown University, 1992; M.S. Historic
Preservation, University of Pennsylvania,
1994; M. Arch, University of Maryland
1998; Ph.D Massacheuttes Institute of
Technology, 2005.
- Assistant Professor, Historic
Preservation
Wrenn, Jerry P. Regular Member
B.S., East Carolina University-Greenville,
1961 ; M.S., University of Tennessee-
Knoxville, 1963; Ph.D. .University of
Maryland-College Park, 1970.
- Associate Professor Emeritus,
Kinesiology
Wright, Richard Adjunct Member
B.S., Syracuse University, 1953; M.S.,
Syracuse University, 1955; Ph.D.,
University of Illinois, 1962.
- Research Professor, Engineering: Civil
and Environmental Engineering
Wu, Louisa Regular Member
Ph.D. University of California, San Diego,
1995
- Affiliate Professor, Biological Sciences
- Affiliate Associate Professor,
Entomology
Wu, Min Regular Member
B.A., Tsinghua University/Qinghua
University, 1996; B. Elect. Eng., 1996;
M.A., Princeton University, 1998; Ph.D.,
2001
- Associate Professor, Engineering;
Electrical & Computer Engineering
Wu, Tongtong Regular Member
Ph.D., University of California, Los
Angeles, School of Public Health.
- Assistant Professor, Public Health:
Master of Public Health-Biostatistics
- Assistant Professor, Public Health:
Epidemiology Ph.D.
- Assistant Professor, Public Health:
Master of Public Health-Epidemiology
Wu, Zhongjun Special Member
Ph.D. .Biomedical Engineering, University
of Miami, Coral Gables, FL 1996
- Adjunct Assistant Professor,
Engineering: Bioengineering
Wuttig, Manfred R. Regular Member
B.S.,Technische Universitat Berlin, 1955;
M.S., 1958; Ph.D., 1962.
GCEN Academic Advisor for Materials
Science and Engineering
- Professor, Engineering: Materials
Science and Engineering
Wyatt, David M. Regular Member
B.A., Yale University, 1970 Ph.D.,
University of California-Berkeley, 1975.
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, English Language and
Literature
Wylie, Ann G. Regular Member
B.A., Wellesley College, 1966; Ph.D.,
Columbia University, 1972.
- Provost, Academic Affairs
- Senior Vice President Academic Affairs,
Academic Affairs
- Distinguished Scholar-Teacher,
Distinguished Faculty
- Professor, Geology
Xiao, Shuhai Special Member
B. Sc, Beijing University, 1988; M. Sc,
Beijing University, 1991 ; A.M., Harvard
University, 1996; Ph.D., Harvard
University, 1998.
- DEFAULT, Geology
Xiao, Shunyuan Regular Member
- Associate Professor, Plant Science
- Affiliate Professor, Biological Sciences
Xiao, Zhengguo Regular Member
D.V.M., Nanjing Agricultural University,
1988; M.S., Nanjing Agricultural
University, 1991.
- Assistant Professor, Animal Sciences
Xie, Bo Regular Member
B.Med., West China University of Medical
Sciences, 1995; M.S., Peking University,
1998; Ph.D., Rensselaer Polytechnic
Institute, 2006.
- Assistant Professor, Information Studies
- Assistant Professor, Library Science
- Assistant Professor, Human-Computer
Interaction
- Assistant Professor, Information
Management
Yager, David D. Regular Member
B.A., Wesleyan University, 1972; Ph.D.,
Cornell University, 1989.
- Associate Professor, Neuroscience and
Cognitive Science
- Associate Professor, Biological
Sciences
- Associate Professor, Psychology
- Affiliate Professor, Biological Sciences
Yakovenko, Victor M. Regular
Member
M.S., Moscow Physical-Technical
Institute, 1984; Ph.D., Landau Institute for
Theoretical Physics, 1987.
- Professor, Physics
Yang, Bao Regular Member
Ph.D. in Mechanical Engineering,
University of California, Los Angles, 2003 .
Ph.D. in Physics, University of Science
and Technology of China, 1 998
- Associate Professor, Engineering:
Mechanical Engineering
Yang, Grace L. Regular Member
B.A., National Taiwan University, 1960;
M.A., University of California-Berkeley,
1963; Ph.D., 1966.
- Professor, Mathematics
- Professor, Mathematical Statistics
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
Yaros, Ron Regular Member
B.A., University of Wisconsin-Madison,
1980; M.A., Webster University, 1991;
Ph.D., University of Wisconsin-Madison,
2005.
- Assistant Professor, Journalism
Yarwood, Stephanie A. Regular
Member
B.A. Witman College, 2001 Ph.D. Oregon
State Univ. 2007
-Assistant Professor, Enviromental
Science and Technology
Yates, David Regular Member
- Assistant Professor, Library Science
- Assistant Professor, Information Studies
Yeh, Kwan-Nan Regular Member
B.S., National Taiwan University, 1961;
M.S., Tulane University, 1965;
Ph.D. .University of Georgia, 1970.
- Professor, Materials and Nuclear
Engineering
Yeni-Komshian, Grace H. Regular
Member
B.A., American University of Beirut-
Lebanon, 1957; M.S., Cornell University,
1962; Ph.D., McGill University-Montreal,
1965.
- Professor, Neuroscience and Cognitive
Science
- Professor Emerita, Clinical Audiology
- Professor Emerita, Hearing and Speech
Sciences
- Affiliate Associate Professor, Second
Language Acquisition-Ph.D.
Yeo, In-Young Regular Member
B.S., Seattle University, 1997; M.S., Ohio
State University, 1999; Ph.D., Ohio State
University, 2005.
- Assistant Professor, Geography
Yeung, Donald Regular Member
B.S., Stanford University, 1990; M.S.,
Massachusetts Institute of Technology,
1993; Ph.D., 1997.
-Associate Professor, Engineering:
Electrical & Computer Engineering
- Assistant Professor, Advanced
Computer Studies, Institute for
- Affiliate Associate Professor, Computer
Science
Yin, Jun-Jie Adjunct Member
B.S. University of Science and
Technology of China, P.R. China, 1968;
M.S. Chinese Academy of Sciences, P.R.
China, 1981; Ph.D. Medical College of
Wisconsin, 1987
- Adjunct Professor, Food Science
Yorke, James A. Regular Member
A.B., Columbia University,1963; Ph.D.,
University of Maryland-College Park,
1966.
- Chair, Mathematics
- Distinguished University Professor,
Applied Mathematics & Statistics, and
Scientific Computation
- Distinguished University Professor,
Mathematics
Yotsukura, Lindsay A. Regular
Member
B.A., Williams College, 1984; M.Ed.,
Harvard University, 1987; M.A., Ohio
State University-Columbus, 1991; Ph.D.,
1997.
- Associate Professor, Asian and East
605
European Languages and Cultures
- Associate Professor, Asian and East
European Languages and Cultures
- Affiliate Associate Professor, Second
Language Acquisition-Ph.D.
Young, Deborah S. R. Regular
Member
B.S., University of California, Los Angeles,
1978; M.B.A.,Texas Christian University,
1984; Ph.D. The University of Texas at
Austin, 1991
- Chair, Public Health: Epidemiology Ph.D.
- Chair, Public Health: Master of Public
Health-Biostatistics
- Chair, Public Health: Master of Public
Health-Epidemiology
Yu, Kenneth H. Regular Member
B.S., University of California-Berkeley,
1985; M.S., 1988; Ph.D., 1989.
- Associate Professor, Engineering:
Aerospace Engineering
Yu, Liangli Regular Member
B.S., China Pharmaceutical University,
P.R.China, 1984; M.S., China
Pharmaceutical University, P.R. China,
1989; Ph.D., Purdue University, 1999
- Acting Chair, Nutrition
- Acting Chair, Food Science
- Director, Nutrition
- Professor, Food Science
- Professor, Nutrition
Yu, Miao Regular Member
Ph.D. (Mechanical Engineering) University
of Maryland, 2002. B.S. & M.S. degrees
(Engineering Mechanics) Tsinghua
University, Beijing, China.
- Associate Professor, Engineering:
Mechanical Engineering
Yu, Yihua Bruce Regular Member
Ph.D., Johns Hopkins University, 1996.
- Associate Professor, Chemical Physics
- Associate Professor, Engineering:
Bioengineering
Zachariah, Michael R. Regular
Member
Ph.D., University of California, Los
Angeles, 1986
- Professor, Chemistry
- Professor, Chemical Physics
- Affiliate Professor, Engineering:
Chemical Engineering
Zachariah, Michael R. Regular
Member
B.S., University of California, LA, 1979,
M.S., University of California, LA, 1981,
Ph.D., University of California, LA, 1986
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Engineering: Mechanical
Engineering
- Affiliate Professor, Engineering:
Materials Science and Engineering
Zacker, John Adjunct Member
B.S. in Physical Education, Plymouth
University, 1979; M.Ed, in Student
Personnel Services, University of
Vermont, 1985; Ph.D. in Education Policy,
Planning and Admin, University of
Maryland, 1997.
- Affiliate Assistant Professor, Education:
Counseling and Personnel Services
Zafiriou, Evanghelos Regular
Member
B.S., National Technical University of
Athens, 1982; Ph.D., California Institute of
Technology, 1986.
- Associate Professor, Systems Research,
Institute for
Zaki, Kawthar A. Regular Member
B.S., Ain Shaims University-Cairo, 1962;
M.S., University of California-
Berkeley,1966; Ph.D., 1969.
- Professor Emeritus, Engineering:
Electrical & Computer Engineering
Zakim, Eric S. Regular Member
B.A., Oberlin College, 1982; M.A.
University of California-Berkeley, 1989;
Ph.D., 1996.
- Associate Professor, Jewish Studies
Zambrana, Ruth Enid Regular
Member
B.A., CUNY-Queens College, 1969;
M.S.W., University of Pennsylvania, 1971 ;
Ph.D., Boston University, 1977.
- Professor, Women's Studies
- Affiliate Professor, Sociology
Zamostny, Kathy P. Adjunct Member
B.A., Kent State University, 1973 M.A.,
Ohio State University, 1975; Ph.D., 1978.
- Staff Psychologist, Counseling Center
Zanot, Eric J. Regular Member
B.A., Pennsylvania State University-
University Park, 1965; M.A., 1970;
Ph.D. .University of lllinois-
Urbana/Champaign, 1977.
- Associate Professor, Journalism
Zantek, Paul F. Regular Member
B.A., Concordia College, 1993; Ph.D.,
Purdue University, 1998.
- Assistant Professor, Business and
Management
Zanuttini, Raffaella Adjunct Member
M.S., Universitadi Torino-Italy, 1984;
M.S., Universite de Geneve, 1990; Ph.D.,
University of Pennsylvania, 1991.
- Assistant Professor, Linguistics,
Georgetown University
Zeiger, Robyn S. Adjunct Member
B.S., University of Maryland-College Park,
1972; M.S., 1973; Ph.D., University of
Maryland-College Park, 1978.
- Senior Lecturer, Family Science
- Adjunct Professor, Honors
Zelkowitz, Marvin V. Regular
Member
B.S., Rensselaer Polytechnic Institute,
1967; M.S., Cornell University, 1969;
Ph.D., 1971.
- Professor Emerita, Computer Science
- Professor Emerita, Advanced Computer
Studies, Institute for
Zeller, Thomas G. Regular Member
M.A., University of Munich, 1995; Ph.D.,
1999.
- Associate Professor, History
Zen, E-An Adjunct Member
B.A., Cornell University, 1951; Ph.D.,
Harvard University, 1955.
- Adjunct Professor, Geology
Zeng, Ning Regular Member
B.Sc, University of Science and
Technology of China, 1987; M.S.,
University of Arizona, 1991; Ph.D.,
University of Arizona, 1995
- Associate Professor, Atmospheric and
Oceanic Science
- Affiliate Associate Professor, Geology
Zhang, Da-Lin Regular Member
B.S., University of Science & Technology-
China, 1976; M.S., The Pennsylvania
State University, 1981; Ph.D., 1985.
- Professor, Applied Mathematics &
Statistics, and Scientific Computation
- Professor, Atmospheric and Oceanic
Science
- Professor, Center for Scientific
Computation and Mathematical Modeling
Zhang, Guangming Regular Member
B.S., Tianjin University-P.R.C, 1966;
M.S., 1981; M.S., University of lllinois-
Urbana/Champaign, 1983; Ph.D., 1986.
-Associate Professor, Engineering:
Mechanical Engineering
- Associate Professor, Systems Research,
Institute for
-Associate Professor, Engineering:
Systems Engineering
Zhang, Guangyu Regular Member
M.S., Cornell University; M.S. University of
Michigan School of Public Health; Ph.D.,
University of Michigan School of Public
Health.
-Assistant Professor, Public Health:
Master of Public Health-Biostatistics
-Assistant Professor, Public Health:
Master of Public Health-Epidemiology
-Assistant Professor, Public Health:
Epidemiology Ph.D.
Zhang, Lei Regular Member
B.S., Tsinghua University, 2000; M.S.,
University of Minnesota, 2002, 2005;
Ph.D., University of Minnesota, 2006.
-Assistant Professor, Engineering: Civil
and Environmental Engineering
Zhang, Shu Guang Regular Member
B.A., Nanjing Normal University-China,
1982; M.A., Ohio University, 1984; Ph.D.,
1989.
- Professor, Academic Affairs
- Professor, History
Zhang, Yanjin Regular Member
D.V.M., Shandong Agricultural University,
Shandong, China, 1984; M.S., The
National Control Institute of Veterinary
Bioproducts and Pharmaceuticlas, Beijing,
China, 1989; Ph.D., Iowa State University,
1998.
- Assistant Professor, Animal Sciences
- Assistant Professor, Veterinary Medical
Sciences
Zhang, Yunfeng Regular Member
B.S., Tongji University, 1993; M.S.,
Tsinghua University, 1996; Ph.D.
California Institute of Technology, 2001.
-Associate Professor, Engineering: Civil
and Environmental Engineering
Zheng, Qinfen Adjunct Member
B.S., University of Science and
Technology of China, 1982; M.S., 1984;
Ph.D. .University of Southern California-
Los Angeles, 1992.
- Associate Research Scientist, Advanced
Computer Studies, Institute for
Zheng, Quanan Adjunct Member
B.S.,Jilin University, China, 1966; Ph.D.,
Chinese Academy of Sciences, China,
1987.
- Senior Research Scientist, Atmospheric
and Oceanic Science
Zhou, Naijun Regular Member
B.Eng., Wuhan University, 1993; M.S.
GIS, Beijing Univrsity, 1996; M.S.,
University of Wisconsin, 2003; Ph.D.,
University of Wisconsin, 2005.
- Assistant Professor, Geography
Zhu, Jianhua Regular Member
B.S., Anhui Institute of Eduction, 1998;
Ph.D., Purdue Univeristy, 2004.
- Assistant Professor, Plant Science
Zhu, Wenlu Regular Member
B.S., Peking University, 1986; M.S., 1989;
Ph.D., SUNY-Stony Brook, 1996.
- Associate Professor, Geology
606
- Affiliate Associate Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Zhu, Xiaoping Regular Member
D.V.M., Ningxia University, China, 1984;
M.S., China Agricultural University, 1987;
Ph.D., University of Wisconsin-Madison,
1997. Dr. Zhu's research focuses on
characterizing functions of MHC class I-
related molecules and the regulation of
innate, adaptive, and mucosal immune
responses in infectious and inflammatory
diseases.
- Associate Professor, Veterinary Medical
Sciences
- Associate Professor, Animal Sciences
- Affiliate Associate Professor, Biological
Sciences
Zickert, Christian Regular Member
Ph.D., Columbia University, 2008
- Assistant Professor, Mathematics
Ziegler, Delores Regular Member
B.M., Maryville College; M.M. The
University of Tennessee.
- Professor, Music
Zilfi, Madeline C. Regular Member
B.A., Mount Holyoke College, 1964;
M.A., University of Chicago, 1971; Ph.D.,
1976.
- Associate Professor, History
- Affiliate Professor, Women's Studies
Zimin, Aleksey Regular Member
Ph.D., University of Maryland College
Park, 2003.
- Research Assistant Professor, Applied
Mathematics & Statistics, and Scientific
Computation
Zimmer, Elizabeth Adjunct Member
B.S., Cornell University, 1973; Ph.D.,
University of California-Berkeley, 1981 .
- Adjunct Professor, Biological Sciences
Zimmermann, Nickolas G. Regular
Member
B.S., University of Wisconsin-Madison,
1972; M.S., 1975;Ph.D., 1981.
- Associate Professor, Animal Sciences
Ziska, Lew H. Special Member
B.S., Univ. of California at Riverside,
1979; M.S., 1982; Ph.D., Univ.of California
at Davis, 1988.
- DEFAULT, Enviromental Science and
Technology
Zohar, Yonathan Regular Member
B.S., Hebrew University of Jerusalem,
1974; M.S., 1976; Ph.D., France, 1982.
- Professor, Marine-Estuarine-
Environmental Sciences
Zukowski, Andrea Regular Member
B.A., Wayne State University; Ph.D.,
Boston University, 2001 .
- Research Scientist, Second Language
Acquisition-Ph.D.
- Assistant Research Scientist, Linguistics
607